ORCID Profile
0000-0002-0685-3886
Current Organisations
UvA
,
NWO Focus Group Light Management in New Photovoltaic Materials
Does something not look right? The information on this page has been harvested from data sources that may not be up to date. We continue to work with information providers to improve coverage and quality. To report an issue, use the Feedback Form.
Publisher: AIP Publishing
Date: 1996
DOI: 10.1063/1.116751
Abstract: Hydrogenated amorphous silicon thin films are doped with erbium by ion implantation. Room-temperature photoluminescence at 1.54 μm, due to an intra-4f transition in Er4+, is observed after thermal annealing at 300–400 °C. Excitation of Er3+ is shown to be mediated by photocarriers. The Er3+ luminescence intensity is quenched by a factor of 15 as the temperature is raised from 10 K to room temperature. Codoping with oxygen (1 at. %) reduces the luminescence quenching to a factor of 7. The quenching is well correlated with a decrease in luminescence lifetime, indicating that nonradiative decay of excited Er3+ is the dominant quenching mechanism as the temperature is increased.
Publisher: AIP Publishing
Date: 30-09-1996
DOI: 10.1063/1.116870
Abstract: Two sources of room temperature visible luminescence are identified from SiO2 films containing ion beam synthesized Si nanocrystals. From a comparison of luminescence spectra and photoluminescence decay lifetime measurements between Xe+-implanted SiO2 films and SiO2 films containing Si nanocrystals, a luminescence feature attributable to defects in the SiO2 matrix is unambiguously identified. Hydrogen passivation of the films selectively quenches the matrix defect luminescence, after which luminescence attributable to Si nanocrystals is evident, with a lifetime on the order of milliseconds. The peak energy of the remaining luminescence attributable to Si nanocrystals ‘‘redshifts’’ as a function of different processing parameters that might lead to increased nanocrystal size and the intensity is directly correlated to the formation of Si nanocrystals. Upon further annealing hydrogen-passivated s les at low temperatures (& °C), the intensity of nanocrystal luminescence increases by more than a factor of 10.
Publisher: Springer Science and Business Media LLC
Date: 12-2015
DOI: 10.1557/MRC.2015.70
Publisher: Elsevier BV
Date: 09-2001
Publisher: American Physical Society (APS)
Date: 24-10-2018
Publisher: AIP Publishing
Date: 11-2000
DOI: 10.1063/1.1310188
Abstract: Temperature-dependent measurements of the photoluminescence (PL) intensity, PL lifetime, and infrared photocurrent, were performed on an erbium-implanted silicon p–n junction in order to investigate the energy transfer processes between the silicon electronic system and the Er 4f energy levels. The device features excellent light trapping properties due to a textured front surface and a highly reflective rear surface. The PL intensity and PL lifetime measurements show weak temperature quenching of the erbium intra-4f transition at 1.535 μm for temperatures up to 150 K, attributed to Auger energy transfer to free carriers. For higher temperatures, much stronger quenching is observed, which is attributed to an energy backtransfer process, in which Er deexcites by generation of a bound exciton at an Er-related trap. Dissociation of this exciton leads to the generation of electron–hole pairs that can be collected as a photocurrent. In addition, nonradiative recombination takes place at the trap. It is shown for the first time that all temperature-dependent data for PL intensity, PL lifetime, and photocurrent can be described using a single model. By fitting all temperature-dependent data simultaneously, we are able to extract the numerical values of the parameters that determine the (temperature-dependent) energy transfer rates in erbium-doped silicon. While the external quantum efficiency of the photocurrent generation process is small (1.8×10−6) due to the small erbium absorption cross section and the low erbium concentration, the conversion of Er excitations into free e–h pairs occurs with an efficiency of 70% at room temperature.
Publisher: Royal Society of Chemistry (RSC)
Date: 2022
DOI: 10.1039/D2MA00619G
Abstract: This work shows how substitutions to the perovskite lattice at multiple sites can affect iodide ion migration. The triple cation perovskite, Cs 0.05 (FA 0.83 MA 0.17 ) 0.95 Pb(I 0.83 Br 0.17 ) 3 , shows a higher barrier to iodide ion migration than materials with only substitutions at the A-site.
Publisher: Springer Science and Business Media LLC
Date: 21-02-2012
DOI: 10.1038/NMAT3263
Publisher: AIP Publishing
Date: 12-05-2003
DOI: 10.1063/1.1577217
Abstract: The Er–Tm interaction and its effect on the luminescence from Er–Tm codoped silicon-rich silicon oxide (SRSO) is investigated. Er and Tm ions were implanted into SRSO films, which consist of Si nanocrystals embedded in a SiO2 matrix. A broad luminescence spectrum extending from 1.5 to 2.0 μm was observed under excitation with a single light source due to the simultaneous, nonresonant excitation of both Er3+ and Tm3+ via Si nanocrystals. The absolute Er3+ luminescence intensity, however, is reduced relative to the case without Tm codoping. Comparison of the Er3+ and Tm3+ luminescence intensities, lifetimes, and their pump power dependence suggest that Er–Tm interaction leading to an energy transfer from the Er3+:4I13/2 state to the excited Tm3+:3H4 state is responsible for the reduction in the Er luminescence intensity.
Publisher: Elsevier BV
Date: 12-1995
Publisher: AIP Publishing
Date: 20-03-2006
DOI: 10.1063/1.2189188
Abstract: We demonstrate a new technique to spatially map the propagation and d ing of infrared surface plasmon polaritons (SPPs) on metal films using optically active erbium ions as a probe of the SPP field. The bound SPP mode propagating along the Ag/glass interface of a 96nm thick Ag film on glass is excited by illuminating a subwavelength hole array in the metal with 1.49μm light. By imaging the 1.53μm photoluminescence of Er ions positioned in the glass at a distance of 60nm from the Ag/glass interface in a confocal microscope, a SPP beam was observed to propagate along a broad stripe waveguide, with a characteristic propagation length of 76μm. This technique provides a useful tool to study the characteristics of SPP modes at metal-dielectric interfaces in a wide range of geometries.
Publisher: Elsevier BV
Date: 06-1993
Publisher: AIP Publishing
Date: 16-11-2015
DOI: 10.1063/1.4935798
Abstract: We determine the angle and polarization dependent emission from 1.75 µm and 2.50 µm long InP nanowires by using cathodoluminescence polarimetry. We excite the vertical wires using a 5 keV electron beam, and find that the 880 nm bandgap emission shows azimuthally polarized rings, with the number of rings depending on the wire height. The data agree well with a model in which spontaneous emission from the wire emitted into the far field interferes with emission reflected off the substrate. From the model, the depth range from which the emission is generated is found to be up to 400 nm below the top surface of the wires, well beyond the extent of the primary electron cloud. This enables a probe of the carrier diffusion length in the InP nanowires.
Publisher: AIP Publishing
Date: 10-1995
DOI: 10.1063/1.359820
Abstract: In situ wafer curvature measurements were performed to study mechanical stress in amorphous SiO2 during Xe, Ne, and Er ion irradiation at energies in the 0.27–4.0 MeV range. Three phenomena are observed: network compaction, radiation-induced viscous flow, and a nonsaturating anisotropic deformation phenomenon. The radiation-induced viscosity is shown to be inversely proportional to the energy density deposited into atomic displacements. The relation between radiation-induced flow and diffusion is discussed in the context of the Stokes–Einstein relation. Viscous flow serves to relax stress, yet a continuous nonsaturating anisotropic deformation effect causes the stress in the irradiated layer to saturate at nonzero values: Xe irradiation at an energy below 3.6 MeV results in a tensile saturation stress for higher energies a compressive stress builds up. These effects are explained in terms of competing bulk and surface deformation processes resulting from local heating of the SiO2 around the ion tracks. The macroscopic effect of deformation phenomena is illustrated by showing the surface morphology after 4.9 MeV Er irradiation of silica through a contact implantation mask. Finally, an in situ stress study of an alkali borosilicate glass is presented. In this case a fourth radiation induced effect is observed, namely, the generation and annihilation of volume occupying point defects. These defects are shown to anneal out at room temperature, following a broad spectrum of activation energies.
Publisher: Optica Publishing Group
Date: 11-06-2010
DOI: 10.1364/OE.18.00A237
Publisher: Hindawi Limited
Date: 2012
DOI: 10.1155/2012/387274
Abstract: We describe a simple one-pot water-based scheme to produce gold nanoparticle groupings with short interparticle spacings. This approach combines a cross-linking molecule and a hydrophilic passivation layer to control the level of induced aggregation. Suspensions of dimers and trimers are readily obtained using a single electrophoretic purification step. The final interparticle spacings allow efficient coupling of the particle plasmon modes as verified in extinction spectroscopy.
Publisher: AIP Publishing
Date: 16-04-2003
DOI: 10.1063/1.1565697
Abstract: Erbium doped Al2O3 waveguide lifiers were fabricated using two different doping methods, namely Er ion implantation into sputter deposited Al2O3, and co-sputtering from an Er2O3/Al2O3 target. Although the Er concentration in both materials is almost identical (0.28 and 0.31 at. %), the lifiers show a completely different behavior. Upon pumping with 1.48 μm, the co-sputtered waveguide shows a strong green luminescence from the S3/24 level, indicating efficient cooperative upconversion in this material. This is confirmed by pump power dependent measurements of the optical transmission at 1.53 μm and the spontaneous emission at 1.53 and 0.98 μm. All measurements can be accurately modeled using a set of rate equations that include first order and second order cooperative upconversion. The first order cooperative upconversion coefficient C24 is found to be 3.5×10−16 cm3 s−1 in the co-sputtered material, two orders of magnitude higher than the value obtained in Er implanted Al2O3 of 4.1×10−18 cm3 s−1. It is concluded that the co-sputtering process results in a strongly inhomogeneous atomic scale spatial distribution of the Er ions. As a result, the co-sputtered waveguides do not show optical gain, while the implanted waveguides do.
Publisher: American Physical Society (APS)
Date: 24-05-2016
Publisher: American Chemical Society (ACS)
Date: 04-06-2002
DOI: 10.1021/CM0211599
Publisher: American Association for the Advancement of Science (AAAS)
Date: 14-11-2014
Abstract: The conversion of optical power to an electric potential is of general interest for energy applications and is typically obtained via optical excitation of semiconductor materials. We developed a method for achieving electric potential that uses an all-metal geometry based on the plasmon resonance in metal nanostructures. In arrays of gold nanoparticles on an indium tin oxide substrate and arrays of 100-nanometer-diameter holes in 20-nanometer-thick gold films on a glass substrate, we detected negative and positive surface potentials during monochromatic irradiation at wavelengths below or above the plasmon resonance, respectively. We observed plasmoelectric surface potentials as large as 100 millivolts under illumination of 100 milliwatts per square centimeter. Plasmoelectric devices may enable the development of all-metal optoelectronic devices that can convert light into electrical energy.
Publisher: American Chemical Society (ACS)
Date: 21-10-2011
DOI: 10.1021/NL2032052
Abstract: We demonstrate that symmetric or asymmetric gold nanoparticle dimers with substantial scattering cross sections and plasmon coupling can be produced with a perfectly controlled chemical environment and a high purity using a single DNA linker as short as 7 nm. A statistical analysis of the optical properties and morphology of single dimers is performed using darkfield and cryo-electron microscopies. These results, correlated to Mie theory calculations, indicate that the particle dimers are stretched in water by electrostatic interactions.
Publisher: AIP Publishing
Date: 17-08-2004
DOI: 10.1063/1.1784542
Abstract: We present two-dimensional arrays of silver nanoparticles embedded in amorphous silicon, fabricated by a sequential Si∕Ag∕Si electron-beam evaporation process. The particle arrays exhibit surface plasmon resonance spectra in the near-infrared (0.9eV), with tails extending below 0.5eV. The data are compared with calculations that take into account measured particle size, shape anisotropy, and separation. It is concluded that the large redshift is mainly due to the high refractive index of the matrix, with shape anisotropy and interparticle coupling contributing several tenths of an electron volt. This work enables plasmon-related applications at the telecommunication wavelength of 1.5μm(0.8eV).
Publisher: American Physical Society (APS)
Date: 20-05-2016
Publisher: Springer Science and Business Media LLC
Date: 10-1996
DOI: 10.1007/BF02464691
Publisher: American Association for the Advancement of Science (AAAS)
Date: 15-04-2016
Abstract: The rate of development and deployment of large-scale photovoltaic systems over recent years has been unprecedented. Because the cost of photovoltaic systems is only partly determined by the cost of the solar cells, efficiency is a key driver to reduce the cost of solar energy. There are several materials systems being explored to achieve high efficiency at low cost. Polman et al. comprehensively and systematically review the leading candidate materials, present the limitations of each system, and analyze how these limitations can be overcome and overall cell performance improved. Science , this issue p. 10.1126/science.aad4424
Publisher: AIP Publishing
Date: 15-08-2000
DOI: 10.1063/1.1305930
Abstract: The presence of silicon nanocrystals in Er doped SiO2 can enhance the effective Er optical absorption cross section by several orders of magnitude due to a strong coupling between quantum confined excitons and Er. This article studies the fundamental processes that determine the potential of Si nanocrystals as sensitizers for use in Er doped waveguide lifiers or lasers. Silicon nanocrystals were formed in SiO2 using Si ion implantation and thermal annealing. The nanocrystal-doped SiO2 layer was implanted with different doses of Er, resulting in Er peak concentrations in the range 0.015–1.8 at. %. All s les show a broad nanocrystal-related luminescence spectrum centered around 800 nm and a sharp Er luminescence line at 1536 nm. By varying the Er concentration and measuring the nanocrystal and Er photoluminescence intensity, the nanocrystal excitation rate, the Er excitation and decay rate, and the Er saturation with pump power, we conclude that: (a) the maximum amount of Er that can be excited via exciton recombination in Si nanocrystals is 1–2 Er ions per nanocrystal, (b) the Er concentration limit can be explained by two different mechanisms occurring at high pump power, namely Auger de-excitation and pair-induced quenching, (c) the excitable Er ions are most likely located in an SiO2-like environment, and have a luminescence efficiency & %, and (d) at a typical nanocrystal concentration of 1019 cm−3, the maximum optical gain at 1.54 μm of an Er-doped waveguide lifier based on Si nanocrystal-doped SiO2 is ∼0.6 dB/cm.
Publisher: AIP Publishing
Date: 09-08-2002
DOI: 10.1063/1.1499509
Abstract: The photoluminescence of Er3+in borosilicate glass is strongly enhanced by the presence of silver. S les prepared by a combination of erbium ion implantation and Na+↔Ag+ ion exchange show an increase of the Er3+excitation efficiency of up to a factor 70 when excited at 488 nm. Excitation of Er3+ is possible over a broad wavelength range in the near ultraviolet and visible. Our data suggest that absorption of light occurs at a silver ion/atom pair or similar defect, followed by energy transfer to Er3+. We can exclude that silver nanocrystals are part of the dominant excitation mechanism, neither via local field enhancement effects due to their surface plasmon resonance nor via absorption and subsequent energy transfer to Er3+.
Publisher: AIP Publishing
Date: 04-05-2009
DOI: 10.1063/1.3126484
Abstract: Surface plasmon polariton Fabry–Pérot resonators were made in single-crystalline gold by focused ion beam milling of two parallel 100 nm deep grooves. The plasmonic cavity modes were spatially and spectrally resolved using cathodoluminescence spectroscopy. Mode numbers up to n=10 were observed. The cavity quality factor Q depends strongly on groove depth the highest Q=21 was found for groove depth of 100 nm at λ=690 nm. The data are consistent with finite-difference time domain calculations that show that the wavelength of maximum reflectivity is strongly correlated with groove depth.
Publisher: American Physical Society (APS)
Date: 19-05-2009
Publisher: American Chemical Society (ACS)
Date: 12-09-2020
Publisher: AIP Publishing
Date: 12-11-2015
DOI: 10.1063/1.4935539
Abstract: We present a simple, low-cost, and scalable approach for the fabrication of efficient nanorod-based solar cells. Templates with arrays of self-assembled ZnO nanorods with tunable morphology are synthesized by chemical bath deposition using a low process temperature at 80 °C. The nanorod templates are conformally coated with hydrogenated amorphous silicon light absorber layers of 100 nm and 200 nm thickness. An initial efficiency of up to 9.0% is achieved for the optimized design. External quantum efficiency measurements on the nanorod cells show a substantial photocurrent enhancement both in the red and the blue parts of the solar spectrum. Key insights in the light trapping mechanisms in these arrays are obtained via a combination of three-dimensional finite-difference time-domain simulations, optical absorption, and external quantum efficiency measurements. Front surface patterns enhance the light incoupling in the blue, while rear side patterns lead to enhanced light trapping in the red. The red response in the nanorod cells is limited by absorption in the patterned Ag back contact. With these findings, we develop and experimentally realize a further advanced design with patterned front and back sides while keeping the Ag reflector flat, showing significantly enhanced scattering from the back reflector with reduced parasitic absorption in the Ag and thus higher photocurrent generation. Many of the findings in this work can serve to provide insights for further optimization of nanostructures for thin-film solar cells in a broad range of materials.
Publisher: The Optical Society
Date: 12-04-2011
DOI: 10.1364/OE.19.00A303
Publisher: AIP Publishing
Date: 24-04-2000
DOI: 10.1063/1.126334
Publisher: Optica Publishing Group
Date: 05-2004
Publisher: Elsevier BV
Date: 05-2000
Publisher: Royal Society of Chemistry (RSC)
Date: 2017
DOI: 10.1039/C7RA05342H
Abstract: We report the production of nanostructured WO 3 photoanodes for solar water splitting produced via anodisation using for the first time citric acid, a safer and more environmentally friendly alternative to fluoride-based electrolytes.
Publisher: American Chemical Society (ACS)
Date: 24-10-2006
DOI: 10.1021/NL061494M
Abstract: The photoluminescence intensity of silicon quantum dots is enhanced in a polarization-selective way by coupling to elongated Ag nanoparticles. The observed polarization dependence provides direct proof that the PL enhancement is due to electromagnetic coupling of the silicon quantum-dot emission dipoles with dipolar plasmon modes of the Ag nanoparticles. The polarization selectivity demonstrates the potential of engineered plasmonic nanostructures to optimize and tune the performance of light sources in a way that goes beyond solely enhancing the emission and absorption rates.
Publisher: Elsevier BV
Date: 04-2001
Publisher: American Chemical Society (ACS)
Date: 09-2020
Publisher: American Physical Society (APS)
Date: 16-09-2004
Publisher: Wiley
Date: 11-07-2019
Publisher: Springer Science and Business Media LLC
Date: 1993
DOI: 10.1557/PROC-301-175
Abstract: Epitaxial Er-doped GaAs and Al 0.5 Ga 0.5 As films, 1.6 μm thick, grown by MBE on (100) GaAs substrates at 560°C, with Er concentrations in the range 9 × 10 17 to 2 × 10 20 cm −3 were studied with RBS/channeling and photoluminescence techniques. Angular scans in the and axial and (111) planar directions indicate that the Er atoms in GaAs are located on interstitial sites. In Al 0.5 Ga 0.5 As doped with 5 × 10 19 Er cm −3 , 70% of the Er atoms are on positions slightly displaced from the interstitial site, the rest presumably substitutional. In Al 0.5 Ga 0.5 As doped with 9 × 19 Er cm −3 , more than 88% of the Er atoms are on substitutional sites. Photoluminescence around 1.54 μm is observed at room-temperature in Er-doped Al 0.5 Ga 0.5 As. Both the low and highly Er-doped s les show similar luminescence intensities the luminescence lifetimes are on the order of 1 ms. The Er-doped GaAs does not show any measurable signal at room-temperature. Correlation of the luminescence data to the Er lattice location suggests that only substitutional Er in AlGaAs is in the luminescent trivalent state.
Publisher: Elsevier BV
Date: 02-2003
Publisher: American Physical Society (APS)
Date: 29-01-2003
Publisher: AIP Publishing
Date: 03-08-2009
DOI: 10.1063/1.3200948
Abstract: We present criteria for optimizing the light-trapping efficiency of periodic arrays of metal nanoparticles for Si solar cell applications. The scattering cross section of the nanoparticles and the diffraction efficiency of the grating should be maximized in the long wavelength range. The grating pitch should be chosen to allow higher order diffraction modes for long wavelengths while maintaining the highest possible fill factor. These conditions place strong constraints on the optimal parameters (particle size of ∼200 nm and pitch of ∼400 nm) for periodic arrays of metal nanoparticles, in contrast to dielectric gratings, where a relatively wide range of periods and feature sizes can be used for efficient light trapping.
Publisher: American Chemical Society (ACS)
Date: 02-01-2013
DOI: 10.1021/NN305869Y
Abstract: Silica-gold core-shell nanoparticles that are immersed in water act as efficient nanoscale generators of steam when illuminated with sunlight. In their paper in this issue of ACS Nano, Halas, Nordlander, and co-workers demonstrate this intriguing phenomenon that results from the nucleation of steam at the surface of in idual nanoparticles that are heated by the sun. The same effect is also used to demonstrate distillation of ethanol. The solar steam nanobubble generation phenomenon results from the complex interplay of many different phenomena that occur at the nanoscale, and can find a broad range of applications.
Publisher: AIP Publishing
Date: 10-06-2013
DOI: 10.1063/1.4810970
Abstract: We present a nano-patterned dielectric coating for crystalline Si solar cells that combines excellent anti-reflection and passivation properties. The nano-patterned coating comprises an array of TiO2 nanocylinders placed on top of an ultra-thin Al2O3 layer on a flat Si(100) wafer. The antireflection effect stems from the preferential forward scattering of light through leaky Mie resonances in the TiO2 nanocylinders. The Al2O3 layer provides excellent passivation of the Si surface. We experimentally demonstrate ultralow surface recombination with carrier lifetimes above 4 ms, combined with a reflectivity of 2.8% averaged over a broad spectral range.
Publisher: American Chemical Society (ACS)
Date: 08-09-2011
DOI: 10.1021/NL202226R
Abstract: Nanophotonic structures have attracted attention for light trapping in solar cells with the potential to manage and direct light absorption on the nanoscale. While both randomly textured and nanophotonic structures have been investigated, the relationship between photocurrent and the spatial correlations of random or designed surfaces has been unclear. Here we systematically design pseudorandom arrays of nanostructures based on their power spectral density, and correlate the spatial frequencies with measured and simulated photocurrent. The integrated cell design consists of a patterned plasmonic back reflector and a nanostructured semiconductor top interface, which gives broadband and isotropic photocurrent enhancement.
Publisher: AIP Publishing
Date: 20-11-2006
DOI: 10.1063/1.2392827
Abstract: It is demonstrated that the photoluminescence intensity of optically active erbium ions positioned in close proximity of anisotropic Ag nanoparticles is significantly enhanced if the nanoparticles support plasmon modes that are resonant with the erbium emission. In addition, the photoluminescence intensity enhancement is found to be polarized corresponding to polarization of these plasmon modes. Both observations demonstrate that the photoluminescence enhancement is due to coupling of the Er3+ I13∕24−I15∕24 transition dipoles with plasmon modes in the Ag nanoparticles. As this coupling mechanism is known to affect the emission rate, metal nanoparticles provide an opportunity to reduce the effect of temperature or concentration quench processes that are known to occur in a wide range of erbium-doped materials.
Publisher: American Physical Society (APS)
Date: 28-04-2006
Publisher: AIP Publishing
Date: 28-12-2009
DOI: 10.1063/1.3276566
Abstract: The spontaneous emission rate of erbium ions is enhanced by coupling to localized plasmonic resonances in subwavelength annular apertures in a Au film. The Er3+ ions, embedded in SiO2, are selectively located inside the apertures. The annular apertures act as nanocavities, enhancing the local density of optical states at the Er emission wavelength of 1.54 μm when the cavities are tuned to that wavelength. We show that this leads to an eightfold increase of the photoluminescence intensity, in conjunction with a 2.4-fold enhancement of the spontaneous emission rate.
Publisher: AIP Publishing
Date: 24-06-1991
DOI: 10.1063/1.104721
Abstract: Palladium atoms have been gettered from the bulk of an amorphous Si (a-Si) layer to an ion-implanted surface region. The 2.2-μm-thick a-Si layers, formed by MeV Si implantation, were implanted with 500 keV Pd and then annealed at 500 °C. This produces a complete redistribution of Pd within the layer and relaxation or substantial defect annihilation in the a-Si. Subsequently, defects were introduced into the surface region (∼4000 Å) by 200 keV Si implantation at various doses. After low-temperature diffusion at 250 °C, Pd atoms are gettered in the Si-implanted region. At low Si fluences, Pd decorates the Gaussian depth distribution of the ion-induced damage, while at higher a saturation is reached in the gettering profile. The ion damage is calculated to saturate when 2% of the target Si atoms are displaced by atomic recoils. Below saturation, the displacement of two Si atoms is calculated to produce one Pd trapping site.
Publisher: Springer Science and Business Media LLC
Date: 08-2011
DOI: 10.1557/MRS2001.153
Abstract: The electronics revolution of the past 50 years has its roots in two scientific and technological areas. On the one hand, there have been tremendous advancements in our understanding of the physics of metals, dielectrics, and semiconductors, leading to the development of devices such as the transistor. On the other hand, a variety of processing techniques such as thin-film growth and deposition, ion implantation, and photolithography have allowed the massive integration of electronic functionality within a very small area, leading to microprocessors and high-density memory, among other innovations.
Publisher: American Physical Society (APS)
Date: 12-03-2003
Publisher: AIP Publishing
Date: 20-04-2004
DOI: 10.1063/1.1737480
Abstract: Amorphous silicon micropillars show anisotropic plastic shape changes upon irradiation with 30 MeV Cu ions. The transverse plastic strain rate is (2.5±0.2)×10−17 cm2/ion at 77 K, which is about one order of magnitude less than that of silica glass. In contrast, crystalline silicon pillars, irradiated under the same conditions, do not exhibit anisotropic deformation. A viscoelastic and free volume model is used to qualitatively describe the data. By irradiating partially amorphous structures a variety of silicon microshapes can be fabricated.
Publisher: American Chemical Society (ACS)
Date: 30-12-2007
DOI: 10.1021/NL062440F
Abstract: Infrared surface plasmon polaritons (SPPs) are concentrated in a laterally tapered planar Ag waveguide. The near field of SPPs excited with 1490 nm light at a Ag-sapphire interface is probed using the photoluminescence of upconverted Er ions at 550 and 660 nm. SPP interference patterns are observed that exhibit clear evidence of SPP concentration toward the taper end. The concentration leads to an enhancement of the upconversion luminescence intensity from Er energy levels that are populated by multiphoton processes.
Publisher: American Chemical Society (ACS)
Date: 15-09-2011
DOI: 10.1021/NL202256K
Abstract: We perform spectrally resolved cathodoluminescence (CL) imaging nanoscopy using a 30 keV electron beam to identify the resonant modes of an ultrathin (20 nm), laterally tapered plasmonic Ag nanostrip antenna. We resolve with deep-subwavelength resolution four antenna resonances (resonance orders m = 2-5) that are ascribed to surface plasmon polariton standing waves that are confined on the strip. We map the local density of states on the strip surface and show that it has contributions from symmetric and antisymmetric surface plasmon polariton modes, each with a very different mode index. This work illustrates the power of CL experiments that can visualize hidden modes that for symmetry reasons have been elusive in optical light scattering experiments.
Publisher: AIP Publishing
Date: 17-06-1991
DOI: 10.1063/1.104739
Abstract: A study is presented of the relation between microstructure and 1.54 μm photoluminescence (PL) in high-energy ion-implantated Er in Si as a function of implant dose, energy, and temperature and subsequent anneal. Transmission electron microscopy (TEM) of material implanted at 500 keV and ≳100 °C and annealed at 900 °C to activate the Er PL suggests the solubility of Er in Si to be ≊1.3±0.4× 1018 cm−3 at 900 °C. Precipitates take the form of platelets (probably ErSi2) ≊100–300 Å in diameter and ≊10 Å thick. The 1.54 μm PL saturates at ≊5× 1017 cm−3, before the apparent solubility limit. Layers in which the Si is fully amorphized and subsequently regrown by solid phase epitaxy during an anneal show increased Er incorporation in the crystalline Si but segregation at the amorphous-crystalline interface. In buried amorphous layers regrown from top and bottom, segregation leads to a line of high Er concentration near the center of the layer: Regrowth from a single interface leads to a segregation pileup of Er at the interface until the precipitation threshhold is reached.
Publisher: IEEE
Date: 2008
Publisher: Springer Science and Business Media LLC
Date: 08-2011
DOI: 10.1557/MRS2001.160
Abstract: The spontaneous emission of an atom is not a property of the atom only it also depends on the local optical surroundings. The simplest demonstration of this effect was provided by the early experiments of Drexhage, who studied the emission rate of luminescent europium ions close to a mirror. It was found that while the spectral distribution of the emission remained constant, the emission rate was dependent on the position of the Eu 3+ ions relative to the mirror. This effect is due to interference of the optical modes incident to and reflected at the mirror. Since then, the modified spontaneous emission of atoms in cavities has been studied extensively. More recently, the control of spontaneous emission in solid-state systems has become of great interest because it enables the tailoring of the emission properties of optical materials. It was shown how the spontaneous-emission rate of optical probe ions or dyes inside dielectric films is modified by the presence of a dielectric interface, in a dielectric multilayer, or a microcavity. The dependence of the decay rate on the optical surroundings in these one-dimensional systems can be described in terms of Fermi's “golden rule,” which states that the decay rate is proportional to the local optical density of states (DOS). The spatial variation in the DOS is due to the interference of optical modes reflected and refracted at the dielectric interface(s).
Publisher: AIP Publishing
Date: 09-04-2001
DOI: 10.1063/1.1359782
Abstract: We report 890 nm luminescence from a neodymium-doped polymer light-emitting diode. The active layer is a blend of poly(dioctylfluorene-co-benzothiadiazole), F8BT, and a lissamine-functionalized terphenyl-based neodymium complex. We detect electroluminescence from both the lissamine (580 nm) and the Nd3+ complex (890 nm). By comparison with lissamine-free devices we show that the lissamine is crucial to infrared emission. The neodymium/lissamine luminescence intensity ratio is higher under electrical excitation than under optical excitation, showing that more triplets reach Nd3+ under electrical excitation. High turn-on voltages provide a clear indication for charge trapping onto the lissamine, and we consider direct triplet formation on the lissamine to be competing efficiently with respect to slower Dexter-type triplet transfer from the F8BT to the lissamine.
Publisher: AIP Publishing
Date: 19-06-2000
DOI: 10.1063/1.126748
Abstract: Silica colloids with a diameter of 240–360 nm, grown by wet chemical synthesis using ethanol, ammonia, water, and tetraethoxysilane, were implanted with 350 keV Er ions, to peak concentrations of 0.2–1.1 at. % and put onto a silicon or glass substrate. After annealing at 700–900 °C the colloids show clear room-temperature photoluminescence at 1.53 μm, with lifetimes as high as 17 ms. By comparing data of different Er concentrations, the purely radiative lifetime is estimated to be 20–22 ms, indicating a high quantum efficiency of about 80%. This high quantum efficiency indicates that, after annealing, the silica colloids are almost free of OH impurities. Spinning a layer of polymethylmethacrylate over the silica spheres results in an optically transparent nanocomposite layer, that can be used as a planar optical waveguide lifier at 1.5 μm that is fully compatible with polymer technology.
Publisher: The Optical Society
Date: 23-02-2016
DOI: 10.1364/OME.6.000884
Publisher: Springer Science and Business Media LLC
Date: 19-06-2015
DOI: 10.1038/SREP11414
Abstract: Using soft-imprint nanolithography, we demonstrate large-area application of engineered two-dimensional polarization-independent networks of silver nanowires as transparent conducting electrodes. These networks have high optical transmittance, low electrical sheet resistance and at the same time function as a photonic light-trapping structure enhancing optical absorption in the absorber layer of thin-film solar cells. We study the influence of nanowire width and pitch on the network transmittance and sheet resistance and demonstrate improved performance compared to ITO. Next, we use P3HT-PCBM organic solar cells as a model system to show the realization of nanowire network based functional devices. Using angle-resolved external quantum efficiency measurements, we demonstrate engineered light trapping by coupling to guided modes in the thin absorber layer of the solar cell. Concurrent to the direct observation of controlled light trapping we observe a reduction in photocurrent as a result of increased reflection and parasitic absorption losses such losses can be minimized by re-optimization of the NW network geometry. Together, these results demonstrate how engineered 2D NW networks can serve as multifunctional structures that unify the functions of a transparent conductor and a light trapping structure. These results are generic and can be applied to any type of optoelectronic device.
Publisher: AIP Publishing
Date: 13-03-1995
DOI: 10.1063/1.113209
Abstract: Erbium surface segregation is observed during growth of Er-doped Si by molecular beam epitaxy on Si(100) at 600 °C. Once a critical Er surface areal density of 2×1014 Er/cm2 is reached, enhanced Er trapping is observed, possibly due to the formation of silicide precipitates. Er segregation on Si(100) is fully avoided when growth is performed in an oxygen background pressure of ∼10−10 mbar, due to the formation of Er-O complexes. No Er segregation is observed on Si(111), which is attributed to the formation of epitaxial Er3Si5 precipitates.
Publisher: figshare
Date: 2020
Publisher: Wiley
Date: 28-04-2022
Abstract: Black silicon (BSi) is a branch of silicon material whose surface is specially processed to a micro/nanoscale structure, which can achieve ultra‐low reflectance or ultra‐high electrochemical reactivity. The ersity and complex surface structures of BSi make it challenging to commercialize BSi devices. Modeling and simulation are commonly used in the semiconductor industry to help in better understanding the material properties, predict the device performance, and provide guidelines for fabrication parameters’ optimization. The biggest challenge for BSi device modeling and simulation is obtaining accurate input surface morphological data. In this work, the 3D models of challenging BSi textures are compared as obtained by atomic force microscopy (AFM) and plasma focused ion beam (PFIB) tomography techniques. In previous work, the PFIB tomography workflow toward the application of surface topography is optimized. In this work, the 3D models obtained from both AFM and PFIB are comprehensively compared, by using the surface models as inputs for finite‐difference time‐domain‐based optical simulation. The results provide strong evidence that PFIB tomography is a better choice for characterizing highly roughened surface such as BSi and provides surface 3D models with better reliability and consistency.
Publisher: AIP Publishing
Date: 03-1994
DOI: 10.1063/1.356241
Abstract: Oxygen-doped Si epitaxial films (OXSEF) grown by molecular beam epitaxy and subsequently implanted with Er show room-temperature luminescence around λ=1.54 μm. The 45-nm-thick films have an oxygen concentration of 10 at. % and were implanted with 7.8×1014 25 keV Er ions/cm2. The luminescence was optically excited with the 514 nm line of an Ar ion laser and is attributed to intra-4f transitions in Er3+. Thermal annealing at 700–800 °C is necessary to optimize the luminescence after implantation. Pure Si implanted and annealed under the same conditions does not show Er-related luminescence at room temperature. The emission from Er in OXSEF is attributed to the high concentration of oxygen in the films, which forms complexes with Er. The excitation of Er3+ is due to a photocarrier mediated mechanism.
Publisher: American Chemical Society (ACS)
Date: 09-06-2007
DOI: 10.1021/NL070807Q
Publisher: AIP Publishing
Date: 26-11-2012
DOI: 10.1063/1.4767997
Publisher: American Chemical Society (ACS)
Date: 14-09-2015
Abstract: We experimentally demonstrate photocurrent enhancement in ultrathin Cu(In,Ga)Se2 (CIGSe) solar cells with absorber layers of 460 nm by nanoscale dielectric light scattering patterns printed by substrate conformal imprint lithography. We show that patterning the front side of the device with TiO2 nanoparticle arrays results in a small photocurrent enhancement in almost the entire 400-1200 nm spectral range due to enhanced light coupling into the cell. Three-dimensional finite-difference time-domain simulations are in good agreement with external quantum efficiency measurements. Patterning the Mo/CIGSe back interface using SiO2 nanoparticles leads to strongly enhanced light trapping, increasing the efficiency from 11.1% for a flat to 12.3% for a patterned cell. Simulations show that optimizing the array geometry could further improve light trapping. Including nanoparticles at the Mo/CIGSe interface leads to substantially reduced parasitic absorption in the Mo back contact. Parasitic absorption in the back contact can be further reduced by fabricating CIGSe cells on top of a SiO2-patterned In2O3:Sn (ITO) back contact. Simulations show that these semitransparent cells have similar spectrally averaged reflection and absorption in the CIGSe active layer as a Mo-based patterned cell, demonstrating that the absorption losses in the Mo can be partially turned into transmission through the semitransparent geometry.
Publisher: The Optical Society
Date: 20-12-2019
DOI: 10.1364/OE.27.038645
Publisher: AIP Publishing
Date: 12-11-2003
DOI: 10.1063/1.1627936
Abstract: 30 MeV Si ion beam irradiation of silica glass containing Ag nanocrystals causes alignment of Ag nanocrystals in arrays along the ion tracks. Optical transmission measurements show a large splitting of the surface plasmon resonance bands for polarizations longitudinal and transversal to the arrays. The splitting is in qualitative agreement with a model for near-field electromagnetic plasmon coupling within the arrays. Resonance shifts as large as 1.5 eV are observed, well into the near-infrared.
Publisher: American Chemical Society (ACS)
Date: 25-10-2014
DOI: 10.1021/PH500262B
Publisher: AIP Publishing
Date: 11-2000
DOI: 10.1063/1.1314322
Abstract: Single crystal (0001) oriented, sapphire s les were implanted with 150 keV Cr ions at fluences between 6.0×1014 and 4.0×1015 Cr/cm2. The peak concentrations ranged from 0.04 to 0.28 at %. Characteristic photoluminescence of the R lines at 694.3 and 692.9 nm was observed. Annealing at 1450 °C for 2 h increased the luminescence intensity by a factor of 45, due to the increasing fraction of substitutional Cr ions as confirmed by Rutherford backscattering spectrometry. The Cr luminescence decay rate in an annealed s le implanted with 3.0×1015 at/cm2 at 300 K is 299 s−1. Decay rates were also measured for s les covered with a range of transparent liquids (refractive index n=1.33–1.57), showing a clear increase with increasing refractive index of the liquid. This effect is explained by the increase of the local optical density of states in the Cr-implanted region. By comparing the measured data with the calculated optical density of states the radiative decay rate is found to be 164±10 s−1 and the internal quantum efficiency ∼50%. The quantum efficiency decreases slightly for increasing Cr concentration.
Publisher: Springer Science and Business Media LLC
Date: 04-01-2013
DOI: 10.1038/LSA.2013.1
Publisher: Optica Publishing Group
Date: 08-1995
Publisher: Elsevier BV
Date: 23-07-190728635
Publisher: Springer Science and Business Media LLC
Date: 1989
DOI: 10.1557/PROC-147-179
Abstract: 420 nm thick amorphous Si layers buried in a Si (100) or Si (111) matrix, produced by 350 keV Si-implantation, were irradiated using a pulsed ruby laser. Time-resolved reflectivity measurements show that melting can be initiated buried in the s les at the crystalline-amorphous interface. Melting is immediately followed by explosive crystallization of the buried amorphous layer, which is started from the crystalline top layer. The velocity of this self-sustained crystallization process is determined to be 15.0 ± 0.5 m/s for Si (100) and 14.0 ± 0.5 m/s for Si (111). RBS and cross-section TEM reveal that epitaxially grown crystalline Si, containing a high density of twin defects, is formed in both the Si (100) and the Si (111) s le.
Publisher: Optica Publishing Group
Date: 2008
DOI: 10.1364/OE.16.000045
Abstract: We investigate the focusing of surface plasmon polaritons (SPPs) excited with 1.5 microm light in a tapered Au waveguide on a planar dielectric substrate by experiments and simulations. We find that nanofocusing can be obtained when the asymmetric bound mode at the substrate side of the metal film is excited. The propagation and concentration of this mode to the tip is demonstrated. No sign of a cutoff waveguide width is observed as the SPPs propagate along the tapered waveguide. Simulations show that such concentrating behavior is not possible for excitation of the mode at the low-index side of the film. The mode that enables the focusing exhibits a strong resemblance to the asymmetric mode responsible for focusing in conical waveguides. This work demonstrates a practical implementation of plasmonic nanofocusing on a planar substrate.
Publisher: Elsevier BV
Date: 12-1995
Publisher: Royal Society of Chemistry (RSC)
Date: 2019
DOI: 10.1039/C9TA08848B
Abstract: Impedance spectroscopy shows the bromide concentration required to supress the low frequency response from mobile ions.
Publisher: Springer Science and Business Media LLC
Date: 06-12-2010
DOI: 10.1038/NMAT2595
Abstract: After decades of process scaling driven by Moore's law, the silicon microelectronics world is now defined by length scales that are many times smaller than the dimensions of typical micro-optical components. This size mismatch poses an important challenge for those working to integrate photonics with complementary metal oxide semiconductor (CMOS) electronics technology. One promising solution is to fabricate optical systems at metal/dielectric interfaces, where electromagnetic modes called surface plasmon polaritons (SPPs) offer unique opportunities to confine and control light at length scales below 100 nm (refs 1, 2). Research groups working in the rapidly developing field of plasmonics have now demonstrated many passive components that suggest the potential of SPPs for applications in sensing and optical communication. Recently, active plasmonic devices based on III-V materials and organic materials have been reported. An electrical source of SPPs was recently demonstrated using organic semiconductors by Koller and colleagues. Here we show that a silicon-based electrical source for SPPs can be fabricated using established low-temperature microtechnology processes that are compatible with back-end CMOS technology.
Publisher: AIP Publishing
Date: 08-1999
DOI: 10.1063/1.370867
Abstract: Hexa-deutero dimethylsulfoxide (DMSO-d6) solutions of terphenyl-based Nd3+, Yb3+, and Er3+ complexes functionalized with a triphenylene antenna chromophore exhibit room temperature near-infrared luminescence at wavelengths of interest for the optical telecommunication network (∼1330 and ∼1550 nm). The sensitizing process takes place through the triplet state of triphenylene as can be concluded from the oxygen dependence of the sensitized luminescence. A significant fraction of the excited triphenylene triplet state is quenched by oxygen, instead of contributing to the population of the luminescent state of the lanthanide ion. The luminescence lifetimes of the triphenylene-functionalized lanthanide complexes ((2)Ln) are in the range of microseconds with a lifetime of 18.6 μs for (2)Yb, 3.4 μs for (2)Er, and 2.5 μs for (2)Nd in DMSO-d6. These luminescence lifetimes seem almost completely dominated by the vibrational quenching by the organic groups in the polydentate ligand and solvent molecules, which leads to low overall luminescence quantum yields.
Publisher: Institute of Electrical and Electronics Engineers (IEEE)
Date: 2015
Publisher: AIP Publishing
Date: 17-10-2011
DOI: 10.1063/1.3654149
Abstract: We propose a design for a plasmonic copper metamaterial with a negative index of refraction at visible/near-infrared wavelengths. Using numerical simulations, we demonstrate negative refraction by a copper metamaterial prism and perform a parameter extraction technique to verify the sign of the effective, electric permittivity and magnetic permeability. Our proposed design has a figure of merit comparable to similar silver-based metamaterials operating in the visible/near-infrared range. These findings have implications for the design of low cost plasmonic devices and negative-index metamaterials in the visible/near-infrared.
Publisher: American Chemical Society (ACS)
Date: 08-12-2015
Publisher: OSA
Date: 2018
Publisher: Elsevier BV
Date: 1991
Publisher: American Chemical Society (ACS)
Date: 04-08-2009
DOI: 10.1021/NL9012826
Abstract: We resolve the resonant whispering gallery modes of plasmonic subwavelength ring cavities defined by circular grooves patterned into a gold surface. An interesting interplay is observed between subwavelength confinement and guiding along the groove. Full spatial and spectroscopic information is directly obtained using cathodoluminescence, including details of the nanoscale intensity distribution (spatial resolution 11 +/- 8 nm). Excellent agreement between measurements and rigorous electromagnetic theory is obtained, thus allowing us to assess the symmetry, ordering, degree of confinement, and near-field enhancement of the modes with unprecedented detail.
Publisher: AIP Publishing
Date: 07-1997
DOI: 10.1063/1.366265
Abstract: Erbium doped materials are of great interest in thin film integrated optoelectronic technology, due to their Er3+intra-4f emission at 1.54 μm, a standard telecommunication wavelength. Er-doped dielectric thin films can be used to fabricate planar optical lifiers or lasers that can be integrated with other devices on the same chip. Semiconductors, such as silicon, can also be doped with erbium. In this case the Er may be excited through optically or electrically generated charge carriers. Er-doped Si light-emitting diodes may find applications in Si-based optoelectronic circuits. In this article, the synthesis, characterization, and application of several different Er-doped thin film photonic materials is described. It focuses on oxide glasses (pure SiO2, phosphosilicate, borosilicate, and soda-lime glasses), ceramic thin films (Al2O3,Y2O3, LiNbO3), and amorphous and crystalline silicon, all doped with Er by ion implantation. MeV ion implantation is a technique that is ideally suited to dope these materials with Er as the ion range corresponds to the typical micron dimensions of these optical materials. The role of implantation defects, the effect of annealing, concentration dependent effects, and optical activation are discussed and compared for the various materials.
Publisher: American Chemical Society (ACS)
Date: 23-02-2008
DOI: 10.1021/JP710780J
Publisher: IEEE
Date: 05-0011
Publisher: Optica Publishing Group
Date: 05-06-2009
DOI: 10.1364/OE.17.010385
Abstract: The reflection of surface plasmon polaritons by deep linear grooves structured into gold surfaces is investigated with numerical finite-difference-in-time-domain as well as boundary-element-method calculations. Groove widths of 25 and 100 nm are studied, with depths as large as 500 nm. The reflection depends strongly on wavelength, groove depth, and width. By systematically varying these parameters and studying the field profiles in the grooves as well as mode dispersion, we relate the resonances of the reflectivity to resonant coupling of propagating planar plasmon modes to cavity modes inside the grooves. By careful design of the groove width and depth the reflectivity can be tuned to values up to at least 30% for either a narrow or wide band of wavelengths.
Publisher: Optica Publishing Group
Date: 11-02-2009
DOI: 10.1364/OL.34.000482
Abstract: We demonstrate an erbium-doped silica toroidal microcavity upconversion laser on a silicon chip lasing in the visible spectral range (510-580 nm). The microcavity is pumped at 1458 nm by a tapered optical fiber coupled to the cavity and the lasing threshold is 690 muW. Lasing is observed at room temperature despite the high nonradiative relaxation rates of Er in pure silica that usually precludes upconversion lasing from higher excited states. This is attributed to the very high circulating pump power in the high-Q microcavity (Q>10(7)).
Publisher: American Chemical Society (ACS)
Date: 16-03-2011
DOI: 10.1021/NL200321U
Abstract: Silver nanoparticle arrays placed on top of a high-refractive index substrate enhance the coupling of light into the substrate over a broad spectral range. We perform a systematic numerical and experimental study of the light incoupling by arrays of Ag nanoparticle arrays in order to achieve the best impedance matching between light propagating in air and in the substrate. We identify the parameters that determine the incoupling efficiency, including the effect of Fano resonances in the scattering, interparticle coupling, as well as resonance shifts due to variations in the near-field coupling to the substrate and spacer layer. The optimal configuration studied is a square array of 200 nm wide, 125 nm high spheroidal Ag particles, at a pitch of 450 nm on a 50 nm thick Si(3)N(4) spacer layer on a Si substrate. When integrated over the AM1.5 solar spectral range from 300 to 1100 nm, this particle array shows 50% enhanced incoupling compared to a bare Si wafer, 8% higher than a standard interference antireflection coating. Experimental data show that the enhancement occurs mostly in the spectral range near the Si band gap. This study opens new perspectives for antireflection coating applications in optical devices and for light management in Si solar cells.
Publisher: American Chemical Society (ACS)
Date: 14-11-2007
DOI: 10.1021/NL071789F
Abstract: We report the observation of plasmonic modes of annular resonators in nanofabricated Ag and Au surfaces that are imaged by spectrally resolved cathodoluminescence. A highly focused 30 keV electron beam is used to excite localized surface plasmons that couple to collective resonant modes of the nanoresonators. We demonstrate unprecedented resolution of plasmonic mode excitation and by combining these observations with full-field simulations find that cathodoluminescence in plasmonic nanostructures is most efficiently excited at positions corresponding to antinodes in the modal electric field intensity.
Publisher: American Chemical Society (ACS)
Date: 21-05-2020
Publisher: Springer Science and Business Media LLC
Date: 18-03-2016
DOI: 10.1038/SREP23283
Abstract: Resonant metal nanostructures exhibit an optically induced electrostatic potential when illuminated with monochromatic light under off-resonant conditions. This plasmoelectric effect is thermodynamically driven by the increase in entropy that occurs when the plasmonic structure aligns its resonant absorption spectrum with incident illumination by varying charge density. As a result, the elevated steady-state temperature of the nanostructure induced by plasmonic absorption is further increased by a small amount. Here, we study in detail the thermodynamic theory underlying the plasmoelectric effect by analyzing a simplified model system consisting of a single silver nanoparticle. We find that surface potentials as large as 473 mV are induced under 100 W/m 2 monochromatic illumination, as a result of a 11 mK increases in the steady-state temperature of the nanoparticle. Furthermore, we discuss the applicability of this analysis for realistic experimental geometries and show that this effect is generic for optical structures in which the resonance is linked to the charge density.
Publisher: Institute of Electrical and Electronics Engineers (IEEE)
Date: 1996
DOI: 10.1109/3.535374
Publisher: Springer Science and Business Media LLC
Date: 08-2012
DOI: 10.1557/MRS.2012.174
Publisher: Elsevier BV
Date: 1992
Publisher: IOP Publishing
Date: 13-04-2016
Publisher: AIP Publishing
Date: 11-07-1994
DOI: 10.1063/1.112680
Abstract: LiNbO3 single crystals (x cut) were implanted with 3.5-MeV Er ions with fluences up to 3×1016 cm−2. Upon annealing the implantation-amorphized surface layer regrows epitaxially, displaying either columnar or planar layer-by-layer growth, depending on the rate at which the s les are brought to the final temperature of 1060 °C. Low heating rates (≊10 °C/s) result in columnar regrowth, and 8-h anneals are necessary for complete dissolution of the grain boundaries. In contrast, using a rapid warm-up (100 °C/s), annealing for 1 min at 1060 °C is sufficient to restore a perfect crystal without grain boundaries. The advantage of the short anneal is that it leads to only minimal diffusion broadening of the Er profile. The maximum concentration of optically active Er ions is 0.18 at. %.
Publisher: Wiley
Date: 21-04-2009
DOI: 10.1111/J.1556-4029.2009.01016.X
Abstract: Continual reports of illicit trafficking incidents involving radioactive materials have prompted authorities to consider the likelihood of forensic evidence being exposed to radiation. In this study, we investigated the ability to recover latent fingermark evidence from a variety of substrates that were exposed to ionizing radiation. Fingermarks deposited on common surfaces, including aluminum, glass, office paper, and plastic, were exposed to doses ranging from 1 to 1000 kGy, in an effort to simulate realistic situations where evidence is exposed to significant doses of radiation from sources used in a criminal act. The fingermarks were processed using routine fingermark detection techniques. With the exception of glass and aluminum substrates, radiolysis had a considerable effect on the quality of the developed fingermarks. The damage to ridge characteristics can, in part, be attributed to chemical interactions between the substrate and the components of the fingermark secretions that react with the detection reagents.
Publisher: Elsevier BV
Date: 03-1995
Publisher: Elsevier BV
Date: 07-1991
Publisher: American Physical Society (APS)
Date: 13-08-2001
Publisher: AIP Publishing
Date: 11-09-1989
DOI: 10.1063/1.101668
Abstract: It is shown that amorphous silicon can be transformed to monocrystalline silicon via an explosive epitaxial crystallization process induced by pulsed laser irradiation. 370-nm-thick amorphous Si layers, buried beneath a 130-nm-thick crystalline surface layer, were irradiated with a 32 ns ruby laser pulse. Real-time reflectivity measurements indicate that internal melting can be initiated at the amorphous-crystalline interface, immediately followed by explosive crystallization of the buried amorphous Si layer. Channeling and cross-sectional transmission electron microscopy reveal that explosive crystallization proceeds epitaxially with formation of twins extending into the s le. The crystal growth velocity is determined to be 16.2±1.2 m/s, close to the fundamental limit for crystalline ordering at a liquid Si/Si(100) interface.
Publisher: AIP Publishing
Date: 27-03-2006
DOI: 10.1063/1.2191411
Abstract: In the presence of nanoscale silver island arrays, silicon quantum dots exhibit up to sevenfold luminescence enhancements at emission frequencies that correspond to the collective dipole plasmon resonance frequency of the Ag island array. Using electron-beam lithography to alter the pitch and particle diameter, this wavelength-selective enhancement can be varied as the metal array resonance wavelength is tuned from 600to900nm. The luminescence intensity enhancement upon coupling is attributed to an increase in the radiative decay rate of the silicon quantum dots.
Publisher: The Optical Society
Date: 30-11-2015
DOI: 10.1364/OE.23.031619
Publisher: American Physical Society (APS)
Date: 10-07-2009
Publisher: Royal Society of Chemistry (RSC)
Date: 2019
DOI: 10.1039/C9EE00476A
Abstract: Perovskite solar cells containing a mixture of A-site cations show increased activation energies for iodide diffusion.
Publisher: American Chemical Society (ACS)
Date: 18-03-2019
Publisher: American Physical Society (APS)
Date: 15-11-1998
Publisher: AIP Publishing
Date: 06-2009
DOI: 10.1063/1.3140609
Abstract: Effective light management is imperative in maintaining high efficiencies as photovoltaic devices become thinner. We demonstrate a simple and effective method of enhancing light trapping in solar cells with thin absorber layers by tuning localized surface plasmons in arrays of Ag nanoparticles. By redshifting the surface plasmon resonances by up to 200 nm, through the modification of the local dielectric environment of the particles, we can increase the optical absorption in an underlying Si wafer fivefold at a wavelength of 1100 nm and enhance the external quantum efficiency of thin Si solar cells by a factor of 2.3 at this wavelength where transmission losses are prevalent. Additionally, by locating the nanoparticles on the rear of the solar cells, we can avoid absorption losses below the resonance wavelength due to interference effects, while still allowing long wavelength light to be coupled into the cell. Results from numerical simulations support the experimental findings and show that the fraction of light backscattered into the cell by nanoparticles located on the rear is comparable to the forward scattering effects of particles on the front. Using nanoparticle self-assembly methods and dielectrics commonly used in photovoltaic fabrication this technology is relevant for application to large-scale photovoltaic devices.
Publisher: American Physical Society (APS)
Date: 24-07-2017
Publisher: Springer Science and Business Media LLC
Date: 03-02-2014
DOI: 10.1038/NCOMMS4250
Abstract: Directing light emission is key for many applications in photonics and biology. Optical antennas made from nanostructured plasmonic metals are suitable candidates for this purpose but designing antennas with good directional characteristics can be challenging, especially when they consist of multiple elements. Here we show that strongly directional emission can also be obtained from a simple in idual gold nanodisk, utilizing the far-field interference of resonant electric and magnetic modes. Using angle-resolved cathodoluminescence spectroscopy, we find that the spectral and angular response strongly depends on excitation position. For excitation at the nanodisk edge, interference between in-plane and out-of-plane dipole components leads to strong beaming of light. For large nanodisks, higher-order multipole components contribute significantly to the scattered field, leading to enhanced directionality. Using a combination of full-wave simulations and analytical point scattering theory we are able to decompose the calculated and measured scattered fields into dipolar and quadrupolar contributions.
Publisher: Springer Science and Business Media LLC
Date: 2003
Abstract: We investigate the optical properties of arrays of closely spaced metal nanoparticles in view of their potential to guide electromagnetic energy with a lateral mode confinement below the diffraction limit of light. Finite-difference time-domain simulations of short arrays of noble metal nanospheres show that electromagnetic pulses at optical frequencies can propagate along the arrays due to near-field interactions between plasmon-polariton modes of adjacent nanoparticles. Near-field microscopy enables the study of energy transport in these plasmon waveguides and shows experimental evidence for energy propagation over a distance of 0.5 νm for plasmon waveguides consisting of spheroidal silver particles fabricated using electron beam lithography.
Publisher: American Physical Society (APS)
Date: 21-03-2019
Publisher: Springer Science and Business Media LLC
Date: 2003
Abstract: We have performed a comparative study of oxide- and nonoxide-passivated silicon nanocrystals to probe the role of the silicon/oxygen interface in low coverage, non-interacting silicon nanocrystal systems. Ensembles of Si nanocrystals characterized by a narrow distribution and diameters of 2–5 nm were synthesized by ion implantation into SiO 2 films followed by a high-temperature anneal in Ar. The nanocrystals were removed from the SiO 2 film matrix and deposited on Si substrates using a chemical etch in HF, leaving a hydrogen-terminated surface. A natural oxide layer grows on these surfaces in air. We characterized the morphology of the s les with atomic force microscopy (AFM) and the spectroscopic properties with photoluminescence (PL) and X-Ray photoelectron spectroscopy. We found that the PL energy of Si nanocrystals can be shifted by particle size reduction and hydrogen or oxygen termination. Further, PL peak energy shifts upon etching and oxidation were consistent with the model of Wolkin et al . that proposes that for very small radii, a silicon-oxygen double bond will produce deep interface states which red shift the luminescence.
Publisher: American Chemical Society (ACS)
Date: 09-06-2020
Publisher: Institute of Electrical and Electronics Engineers (IEEE)
Date: 03-2015
Publisher: Springer Science and Business Media LLC
Date: 1993
DOI: 10.1557/PROC-301-101
Abstract: Solid phase epitaxy and ion-beam-induced epitaxial crystallization of Er-doped amorphous Si are used to incorporate high concentrations of Er in crystal Si. During solid phase epitaxy, substantial segregation and trapping of Er is observed, with maximum Er concentrations trapped in single crystal Si of up to 2 × 10 20 /cm 3 . Ion-beam-induced regrowth results in very little segregation, with Er concentrations of more than 5 × 10 20 /cm 3 achievable. Photoluminescence from the incorporated Er is observed.
Publisher: Springer Science and Business Media LLC
Date: 05-2005
DOI: 10.1557/MRS2005.277
Abstract: Since the development of the light microscope in the 16th century, optical device size and performance have been limited by diffraction. Optoelectronic devices of today are much bigger than the smallest electronic devices for this reason. Achieving control of light—material interactions for photonic device applications at the nanoscale requires structures that guide electromagnetic energy with subwavelength-scale mode confinement. By converting the optical mode into nonradiating surface plasmons, electromagnetic energy can be guided in structures with lateral dimensions of less than 10% of the free-space wavelength. A variety of methods—including electron-beam lithography and self-assembly—have been used to construct both particle and planar plasmon waveguides. Recent experimental studies have confirmed the strongly coupled collective plasmonic modes of metallic nanostructures. In plasmon waveguides consisting of closely spaced silver rods, electromagnetic energy transport over distances of 0.5 m has been observed. Moreover, numerical simulations suggest the possibility of multi-centimeter plasmon propagation in thin metallic stripes. Thus, there appears to be no fundamental scaling limit to the size and density of photonic devices, and ongoing work is aimed at identifying important device performance criteria in the subwavelength size regime. Ultimately, it may be possible to design an entire class of subwavelength-scale optoelectronic components (waveguides, sources, detectors, modulators) that could form the building blocks of an optical device technology—a technology scalable to molecular dimensions, with potential imaging, spectroscopy, and interconnection applications in computing, communications, and chemical/biological detection.
Publisher: American Physical Society (APS)
Date: 02-08-2005
Publisher: American Chemical Society (ACS)
Date: 12-02-2008
DOI: 10.1021/JA711074N
Abstract: The controlled and reproducible synthesis of closely spaced noble metal nanoparticle groupings is an essential step toward the rational design of nanostructures for surface enhanced Raman scattering with single-molecule sensitivity. In this communication, we demonstrate the facile synthesis of 5, 8, and 18 nm gold particle groupings on a well-defined DNA template by hybridizing monoconjugated gold-DNA building blocks. The obtained nanometer interparticle gaps should yield local intensity enhancements up to 4 orders of magnitude as estimated by Generalized Mie Theory. By tuning the particle size and relative curvatures, we calculate that the maximum enhancement can be optimized and localized on a specific part of the nanostructure. These groupings act as plasmon-based nanolenses assembled on a biomolecular backbone that can be functionalized in proximity to the region of highest field.
Publisher: Springer Science and Business Media LLC
Date: 19-08-2012
DOI: 10.1038/NMAT3402
Abstract: Numerous optical technologies and quantum optical devices rely on the controlled coupling of a local emitter to its photonic environment, which is governed by the local density of optical states (LDOS). Although precise knowledge of the LDOS is crucial, classical optical techniques fail to measure it in all of its frequency and spatial components. Here, we use a scanning electron beam as a point source to probe the LDOS. Through angular and spectral detection of the electron-induced light emission, we spatially and spectrally resolve the light wave vector and determine the LDOS of Bloch modes in a photonic crystal membrane at an unprecedented deep-subwavelength resolution (30-40 nm) over a large spectral range. We present a first look inside photonic crystal cavities revealing subwavelength details of the resonant modes. Our results provide direct guidelines for the optimum location of emitters to control their emission, and key fundamental insights into light-matter coupling at the nanoscale.
Publisher: AIP Publishing
Date: 1998
DOI: 10.1063/1.366721
Abstract: The optical properties of different erbium (Er)-doped polydentate hemispherand organic cage complexes are studied, for use in polymer-based planar optical lifiers. Room temperature photoluminescence at 1.54 μm is observed, due to an intra-4f transition in Er3+. The Er is directly excited into one of the 4f manifolds (at 488 nm), or indirectly (at 287 nm) via the aromatic part of the cage. The luminescence spectrum is 70 nm wide (full width at half maximum), the highest known for any Er-doped material, enabling high gain bandwidth for optical lification. The absorption cross section at 1.54 μm is 1.1×10−20 cm2, higher than in most other Er-doped materials, which allows the attainment of high gain. Measurements were performed on complexes in KBr tablets, in which the complex is present in the form of small crystallites, or dissolved in the organic solvents dimethylformamide and butanol-OD. In KBr the luminescence lifetime at 1.54 μm is & .5 μs, possibly due to concentration quenching effects. In butanol-OD solution, the lifetime is 0.8 μs, still well below the radiative lifetime of 4 ms estimated from the measured absorption cross sections. Experiments on the selective deuteration of the near-neighbor C–H bonds around the Er3+-ion indicate that these are not the major quenching sites of the Er3+ luminescence. Temperature dependent luminescence measurements indicate that temperature quenching is very small. It is therefore concluded that an alternative luminescence quenching mechanism takes place, presumably due to the presence of O–H groups on the Er-doped complex (originating either from the synthesis or from the solution). Finally a calculation is made of the gain performance of a planar polymer waveguide lifier based on these Er complexes, resulting in a threshold pump power of 1.4 mW and a typical gain of 1.7 dB /cm.
Publisher: American Chemical Society (ACS)
Date: 07-05-2015
DOI: 10.1021/ACS.NANOLETT.5B00858
Abstract: Nanomechanical resonators are highly suitable as sensors of minute forces, displacements, or masses. We realize a single plasmonic dimer antenna of subwavelength size, integrated with silicon nitride nanobeams. The sensitive dependence of the antenna response on the beam displacement creates a plasmomechanical system of deeply subwavelength size in all dimensions. We use it to demonstrate transduction of thermal vibrations to scattered light fields and discuss the noise properties and achievable coupling strengths in these systems.
Publisher: Institute of Electrical and Electronics Engineers (IEEE)
Date: 2001
DOI: 10.1109/50.964075
Publisher: AIP Publishing
Date: 29-04-1996
DOI: 10.1063/1.115838
Abstract: Synthesis of Ge nanocrystals in SiO2 films is carried out by precipitation from a supersaturated solid solution of Ge in SiO2 made by Ge ion implantation. The films exhibit strong room-temperature visible photoluminescence. The measured photoluminescence peak energy and lifetimes show poor correlations with nanocrystal size compared to calculations involving radiative recombination of quantum-confined excitons in Ge quantum dots. In addition, the photoluminescence spectra and lifetime measurements show only a weak temperature dependence. These observations strongly suggest that the observed visible luminescence in our s les is not due to the radiative recombination of quantum-confined excitons in Ge nanocrystals. Instead, observations of similar luminescence in Xe+-implanted s les and reversible PL quenching by hydrogen or deuterium suggest that radiative defect centers in the SiO2 matrix are responsible for the observed luminescence.
Publisher: IOP Publishing
Date: 03-06-2019
Abstract: We demonstrate a soft-imprint nanofabrication technique offering nanometer resolution over an area as large as a 150 mm diameter wafer. It makes use of a composite imprint st composed of a quaternary siloxane-modified poly-di-methyl-siloxane patterned rubber layer with a relatively high Young's modulus that is laminated on a thin glass support. The in-plane stiffness of the st avoids pattern deformation over large areas, while out-of-plane flexibility allows conformal contact to be made over the entire substrate area. The st is used in conjunction with a novel tetra-methyl-ortho-siloxane/methyl-tri-methoxy-siloxane sol-gel imprint resist material developed to replicate nanoscale features in rigid silica at room temperature. We demonstrate better than 10 nm resolution in imprinted line gratings and in idual pillars with aspect ratio as high as 5:1. Gaps as small as 6 nm can be reproduced. The patterns can be used as an etch mask to pattern 150 mm diameter silicon and quartz substrates while maintaining sub-10 nm resolution.
Publisher: AIP Publishing
Date: 15-02-1993
DOI: 10.1063/1.353201
Abstract: The effect of MeV ion irradiation damage on the luminescence lifetime of erbium-doped silica glass films has been studied. The 10-μm-thick films were first implanted with 3.5 MeV Er at a fluence of 5×1015 cm−2. When optically pumped at 488 nm, the films show a clear photoluminescence spectrum centered around 1.535 μm, corresponding to the 4I13/2→4I15/2 transition of Er3+(4f11), with a luminescence lifetime of 5.5 ms. After thermal annealing at 900 °C, the lifetime increases to 14.1 ms. Radiation damage was then introduced in the annealed films using 1 MeV He, 3.5 MeV C, 5.5 MeV Si, or 8.5 MeV Ge ions. The lifetime is decreased by irradiation with fluences as low as 1011 ions/cm2 and continues to decrease with fluence until saturation occurs above ≊1014 ions/cm2. The saturation lifetime is ion-mass dependent and ranges from 6.6 to 8.5 ms. The lifetime changes are explained in terms of nonradiative energy transfer processes caused by irradiation-induced defects in the silica. A model for lifetime changes as a function of ion fluence is derived, assuming an inverse relation between the nonradiative lifetime and the defect density. Fits to the data show that the defect generation rate is a sublinear function of the ion fluence. The ion damage effects are governed by the electronic component of the energy loss along the ion trajectories.
Publisher: IOP Publishing
Date: 12-01-2012
Publisher: American Chemical Society (ACS)
Date: 06-07-2022
Publisher: Institution of Engineering and Technology (IET)
Date: 23-05-1991
DOI: 10.1049/EL:19910619
Publisher: Oxford University Press (OUP)
Date: 03-2018
Abstract: We present the surface plasmon resonance modes in three-dimensional (3D) upright split ring resonators (SRR) as studied by correlative cathodoluminescence (CL) spectroscopy in a scanning electron microscope (SEM) and electron energy loss spectroscopy (EELS) in a transmission electron microscope. We discuss the challenges inherent in studying the plasmon modes of a 3D nanostructure and how meeting these challenges benefits from the complementary use of EELS and SEM-CL. With the use of EELS, we detect a strong first order mode in the SRR with comparison to simulations, we are able to identify this as the well-known magnetic dipole moment of the SRR. Combining the EELS spectra with SEM-CL on the same structure reveals the higher order modes present in this 3D nanostructure, which we link to the coupling and hybridization of rim modes present in the two upright hollow pillars of the split ring.
Publisher: Elsevier BV
Date: 03-1995
Publisher: American Chemical Society (ACS)
Date: 19-01-2012
DOI: 10.1021/NN204750D
Abstract: We use spatially and angle-resolved cathodoluminescence imaging spectroscopy to study, with deep subwavelength resolution, the radiation mechanism of single plasmonic ridge antennas with lengths ranging from 100 to 2000 nm. We measure the antenna's standing wave resonances up to the fifth order and measure the dispersion of the strongly confined guided plasmon mode. By directly detecting the emitted antenna radiation with a 2D CCD camera, we are able to measure the angular emission patterns associated with each in idual antenna resonance. We demonstrate that the shortest ridges can be modeled as a single point-dipole emitter oriented either upward (m = 0) or in-plane (m = 1). The far-field emission pattern for longer antennas (m > 2) is well described by two interfering in-plane point dipoles at the end facets, giving rise to an angular fringe pattern, where the number of fringes increases as the antenna becomes longer. Taking advantage of the deep subwavelength excitation resolution of the cathodoluminescence technique, we are able to determine the antenna radiation pattern as a function of excitation position. By including the phase of the radiating dipoles into our simple dipole model, we completely reproduce this effect. This work demonstrates how angle-resolved cathodoluminescence spectroscopy can be used to fully determine the emission properties of subwavelength ridge antennas, which ultimately can be used for the design of more complex and efficient antenna structures.
Publisher: American Chemical Society (ACS)
Date: 30-06-2015
DOI: 10.1021/NL5045583
Abstract: We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.
Publisher: AIP Publishing
Date: 17-01-2000
DOI: 10.1063/1.125751
Abstract: Silicon nanocrystals with diameters ranging from ≈2 to 5.5 nm were formed by Si ion implantation into SiO2 followed by annealing. After passivation with deuterium, the photoluminescence (PL) spectrum at 12 K peaks at 1.60 eV and has a full width at half maximum of 0.28 eV. The emission is attributed to the recombination of quantum-confined excitons in the nanocrystals. The temperature dependence of the PL intensity and decay rate at several energies between 1.4 and 1.9 eV was determined between 12 and 300 K. The temperature dependence of the radiative decay rate was determined, and is in good agreement with a model that takes into account the energy splitting between the excitonic singlet and triplet levels due to the electron-hole exchange interaction. The exchange energy splitting increases from 8.4 meV for large nanocrystals (≈5.5 nm) to 16.5 meV for small nanocrystals (≈2 nm). For all nanocrystal sizes, the radiative rate from the singlet state is 300–800 times larger than the radiative rate from the triplet state.
Publisher: Springer Netherlands
Date: 2001
Publisher: AIP Publishing
Date: 15-06-2008
DOI: 10.1063/1.2939249
Abstract: Core-shell colloids composed of a dielectric core surrounded by a metal shell show geometric cavity resonances with optical properties that are distinctly different than those of the collective plasmon modes of the metal shell. We use finite-difference time domain calculations on silica colloids with a core diameter of 456nm, surrounded by a 38nm thick Au shell, to study the temporal evolution of the mode field intensity inside the cavity upon pulsed excitation. Calculations using Mie theory and the T-matrix method are used to analytically determine the dipolar cavity resonance spectrum, which is found superimposed on the broad collective dipolar plasmonic resonance modes. We characterize resonance wavelength and linewidth in terms of a geometric mode confined inside the cavity. Cavity linewidth can be controlled by metal shell thickness and quality factors Q& are observed. Due to the small cavity mode volume V=0.2(λ∕n)3, a Purcell factor as high as P=54 is calculated. Introducing shape anisotropy lifts the cavity mode degeneracy, yielding blue- and redshifted longitudinal and transverse resonant modes, respectively. The relatively large volume over which the field enhancement is observed in these spherical and anisotropic metal shell cavities, combined with cavity quality factors that are much higher than that of the collective plasmonic modes, makes them attractive for application in nanoscale light sources, sensors, or lasers.
Publisher: AIP Publishing
Date: 19-01-1987
DOI: 10.1063/1.98248
Abstract: Nitridation and oxidation of titanium and iron immersed in liquid N2, NH3, or H2O are achieved by pulsed excimer laser treatment. Rutherford backscattering spectrometry reveals that significant quantities of nitrogen and oxygen can be incorporated in the metal matrices over a depth scale of several thousand angstroms. X-ray diffraction gives evidence for compound formation and scanning electron microscopy for large stress in the surface layer. The process is viewed as chemical reactive solute incorporation in the metal surface layer in its laser induced liquid state, followed by compound formation.
Publisher: American Chemical Society (ACS)
Date: 16-05-2016
DOI: 10.1021/ACS.NANOLETT.6B00949
Abstract: Metal-insulator-semiconductor (MIS) junctions provide the charge separating properties of Schottky junctions while circumventing the direct and detrimental contact of the metal with the semiconductor. A passivating and tunnel dielectric is used as a separation layer to reduce carrier recombination and remove Fermi level pinning. When applied to solar cells, these junctions result in two main advantages over traditional p-n-junction solar cells: a highly simplified fabrication process and excellent passivation properties and hence high open-circuit voltages. However, one major drawback of metal-insulator-semiconductor solar cells is that a continuous metal layer is needed to form a junction at the surface of the silicon, which decreases the optical transmittance and hence short-circuit current density. The decrease of transmittance with increasing metal coverage, however, can be overcome by nanoscale structures. Nanowire networks exhibit precisely the properties that are required for MIS solar cells: closely spaced and conductive metal wires to induce an inversion layer for homogeneous charge carrier extraction and simultaneously a high optical transparency. We experimentally demonstrate the nanowire MIS concept by using it to make silicon solar cells with a measured energy conversion efficiency of 7% (∼11% after correction), an effective open-circuit voltage (Voc) of 560 mV and estimated short-circuit current density (Jsc) of 33 mA/cm(2). Furthermore, we show that the metal nanowire network can serve additionally as an etch mask to pattern inverted nanopyramids, decreasing the reflectivity substantially from 36% to ∼4%. Our extensive analysis points out a path toward nanowire based MIS solar cells that exhibit both high Voc and Jsc values.
Publisher: Wiley
Date: 24-07-2013
Publisher: AIP Publishing
Date: 17-07-1995
DOI: 10.1063/1.114634
Abstract: The time evolution of the 1.54 μm Er3+ photoluminescence intensity of Er-doped silicon following a 30 μs excitation pulse is investigated. It is found that at 9 K, the 1.54 μm luminescence from Er3+ continues to increase up to 50 μs after the pulse is terminated, when excess photocarriers no longer exist. This provides the first direct experimental evidence that a state in the forbidden gap of silicon acts as the gateway to the excitation of Er3+. Further analysis indicates recombination of bound excitons to be the most likely excitation mechanism.
Publisher: AIP Publishing
Date: 15-06-1993
DOI: 10.1063/1.353432
Abstract: Soda-lime-silicate glass has been implanted with 500 keV Er ions at fluences between 8.6×1014 and 1.8×1016/cm2 with the aim to optically dope the material in the near surface region. The ion range was 100 nm, and Er concentrations in the range 0.09—1.9 at. % were obtained. The characteristic photoluminescence (PL) of Er3+ around 1.54 μm is observed at room temperature in as-implanted glass. The PL intensity increases by an order of magnitude after annealing above 500 °C, as a result of annihilation of implantation-induced defects. Annealing causes an increase in PL lifetime. As a function of Er fluence, the PL intensity first increases, but levels off above ∼6×1015 Er/cm2 (0.6 at. % Er peak concentration). The PL lifetime decreases from 13 to 1.5 ms for increasing Er concentration. The decrease in PL efficiency with concentration is attributed to concentration quenching caused by Er-Er interactions. The optimal combination of PL intensity and lifetime is reached at ≊0.4 at. % peak concentration, for which the lifetime is 6 ms. For high Er concentrations and high pump intensities (∼3 kW/cm2) an additional, intensity dependent quenching mechanism (possibly cooperative upconversion) is observed.
Publisher: Elsevier BV
Date: 07-1991
Publisher: American Physical Society (APS)
Date: 24-07-2009
Publisher: Optica Publishing Group
Date: 11-2001
Publisher: Springer Science and Business Media LLC
Date: 2003
Abstract: An entirely new method to fabricate optically active and carrier-mediated excitable erbium complexes on silicon is presented. The Er-Si-O nano-complexes are formed by spin-coating a Si (100) substrate with an ErC1 3 solution, followed by a rapid thermal oxidation and annealing sequence (RTOA). Intense room-temperature luminescence is observed from the Er-Si-O nano-complexes, with a line width as narrow as 4 meV at room temperature. The Er emission at 1.53 μm can be excited both directly and through photo carriers. Formation and optical activation of the Er-Si-O nano-complexes are discussed. In addition, an application of the wet chemical synthesis technique to incorporation of the Er-Si-O nano-complexes into nano-porous silicon waveguides is demonstrated.
Publisher: AIP Publishing
Date: 02-1995
DOI: 10.1063/1.358927
Abstract: The optical activation, excitation, and concentration limits of erbium in crystal Si are studied. Preamorphized surface layers of Czochralski-grown (Cz) Si(100), containing 1.7×1018 O/cm3, were implanted with 250 keV Er at fluences in the range 8×1011–8×1014 cm−2. After thermal solid-phase epitaxy of the Er-doped amorphous layers at 600 °C, Er is trapped in the crystal at concentrations ranging from 3×1016 to 7×1019 Er/cm3, as measured by secondary-ion-mass spectrometry. Photoluminescence spectra taken at 77 K show the characteristic Er3+ intra-4f luminescence at 1.54 μm. Photoluminescence excitation spectroscopy shows that Er is excited through a photocarrier-mediated process. Rapid thermal annealing at 1000 °C for 15 s increases the luminescence intensity, mainly due to an increase in minority-carrier lifetime, which enhances the excitation efficiency. Luminescent Er forms clusters with oxygen: the maximum Er concentration that can be optically activated is determined by the O content, and is (3±1)×1017 Er/cm3 in Cz-Si. The internal quantum efficiency for electrical excitation of Er in Cz-Si is larger than 3×10−6.
Publisher: AIP Publishing
Date: 17-06-2004
DOI: 10.1063/1.1765200
Abstract: Silicon nanocrystals embedded in SiO2 were isolated with a selective etching procedure, and the isolated nanocrystals’ excitonic emission energy was studied during controlled oxidation. Nanocrystals having initial diameters, d0, of ∼2.9–3.4nm showed a photoluminescence (PL) blueshift upon oxidatively induced size reduction, as expected from models of quantum confinement. Oxidation of smaller Si nanocrystals (d0∼2.5–2.8nm) also initially resulted in a PL blueshift, but a redshift in the PL was then observed after growth of ∼0.3 monolayers of native oxide. This decrease in excitonic emission energy during oxidation is consistent with the theoretically predicted formation of an oxygen-related excitonic recombination state.
Publisher: AIP Publishing
Date: 15-04-2006
DOI: 10.1063/1.2188050
Abstract: Erbium-doped SiO2 toroidal microcavity lasers are fabricated on a Si substrate using a combination of optical lithography, etching, Er ion implantation, and CO2 laser reflow. Erbium is either preimplanted in the SiO2 base material or postimplanted into a fully fabricated microtoroid. Three-dimensional infrared confocal photoluminescence spectroscopy imaging is used to determine the spatial distribution of optically active Er ions in the two types of microtoroids, and distinct differences are found. Microprobe Rutherford backscattering spectrometry indicates that no macroscopic Er diffusion occurs during the laser reflow for preimplanted microtoroids. From the measured Er doping profiles and calculated optical mode distributions the overlap factor between the Er distribution and mode profile is calculated: Γ=0.066 and Γ=0.02 for postimplanted and preimplanted microtoroids, respectively. Single and multimode lasing around 1.5μm is observed for both types of microtoroids, with the lowest lasing threshold (4.5μW) observed for the preimplanted microtoroids, which possess the smallest mode volume. When excited in the proper geometry, a clear mode spectrum is observed superimposed on the Er spontaneous emission spectrum. This result indicates the coupling of Er ions to cavity modes.
Publisher: SPIE
Date: 13-09-2007
DOI: 10.1117/12.737495
Publisher: AIP Publishing
Date: 15-10-1996
DOI: 10.1063/1.363397
Abstract: Solid phase epitaxy of amorphous SnxGe1−x films on strain relieved Ge films on Si(001) substrates was investigated for alloy compositions in the range 0.02≤x≤0.26. Films with compositions x& .05 crystallize by solid phase epitaxy as substitutional, strain relieved, diamond cubic alloys without phase separation or surface segregation of Sn. Films with higher Sn compositions exhibit more complicated behavior in which phase separation is believed to follow solid phase epitaxy. This sequence of transformations for higher Sn compositions yields epitaxial, substitutional, strain relieved, diamond cubic SnxGe1−x films with x∼0.05, and excess Sn is segregated in ∼100 nm size domains within the epitaxial alloy film.
Publisher: AIP Publishing
Date: 1997
DOI: 10.1063/1.364002
Abstract: Segregation and trapping of Er during solid-phase crystallization of amorphous Si on crystalline Si is studied in a concentration range of 1016–5×1020 Er/cm3. Amorphous surface layers are prepared on Si(100) by 250 keV Er ion implantation, recrystallized at 600 °C, and then analyzed using high-resolution Rutherford backscattering spectrometry using 2 MeV He+ or 100 keV H+. The segregation coefficient k depends strongly on Er concentration. At Er interface areal densities below 6×1013 Er/cm2 nearly full segregation to the surface is observed, with k=0.01. At higher Er densities, segregation and trapping in the crystal are observed, with k=0.20. The results are consistent with a model in which it is assumed that defects in the a-Si near the interface act as traps for the Er.
Publisher: AIP Publishing
Date: 15-07-1999
DOI: 10.1063/1.370800
Abstract: Depth-resolved measurements of the photoluminescence of Si implanted and annealed SiO2 films on Si have been performed to determine the depth distribution of luminescent Si nanocrystals. Si nanocrystals with diameters ranging from ∼2 to 5 nm were formed by implantation of 35 keV Si ions into a 110-nm-thick thermally grown SiO2 film on Si(100) at a fluence of 6×1016 Si/cm2, followed by a thermal anneal at 1100 °C for 10 min. The photoluminescence spectrum is broad, peaks at λ=790 nm, and contains contributions from both recombination of quantum confined excitons in the nanocrystals and ion-implantation-induced defects. By chemical etching through the SiO2 film in steps and analyzing the changes in the photoluminescence spectrum after each etch step, the depth from which each of the two luminescence features originate is determined. The etch rate of the oxide, as derived from Rutherford backscattering spectrometry data, varies from 1.3 nm/s in the regions of small excess Si to 0.6 nm/s at the peak of the concentration profile (15 at. % excess Si). It is found that the defect luminescence originates from an ∼15-nm-thick near-surface region. Large nanocrystals luminescing at long wavelengths (λ=900 nm) are mainly located in the center of the film, where the Si concentration is highest (48 at. %). This is corroborated by transmission electron microscopy that shows a high density of Si nanocrystals in the size range of 2–5 nm in the center of the film. The largest density of small luminescent nanocrystals (λ=700 nm), not detectable by electron microscopy is found near the SiO2 surface and the SiO2/Si interface. This is attributed to either the fact that the surface and the SiO2/Si interface affect the Si nanocrystal nucleation kinetics in such a way that small nanocrystals are preferentially formed in these regions, or an optical interaction between nanocrystals of different sizes that quenches the luminescence of small nanocrystals in the center of the film.
Publisher: Springer Science and Business Media LLC
Date: 1998
DOI: 10.1557/PROC-486-213
Abstract: Si nanocrystals (diameter 2 – 5 nm) were formed by 35 keV Si + implantation at a fluence of 6×10 16 Si/cm 2 into a 100 nm thick thermally grown SiO 2 film on Si (100), followed by thermal annealing at 1100 °C for 10 min. The nanocrystals show a broad photoluminescence spectrum, peaking at 880 nm, attributed to the recombination of quantum confined excitons. Rutherford backscattering spectrometry and transmission electron microscopy show that annealing these s les in flowing O 2 at 1000 °C for times up to 30 min. results in oxidation of the Si nanocrystals, first close to the SiO 2 film surface and later at greater depths. Upon oxidation for 30 min. the photoluminescence peak wavelength blue-shifts by more than 200 nm. This blueshift is attributed to a quantum size effect in which a reduction of the average nanocrystal size leads to emission at shorter wavelengths. The fabrication of a laser based on SiO 2 waveguides doped with Si nanocrystals seems possible, if the nanocrystal size distribution can be narrowed down into the percent range.
Publisher: IEEE
Date: 10-2009
Publisher: IEEE
Date: 06-2015
Publisher: AIP Publishing
Date: 25-04-1994
DOI: 10.1063/1.111655
Abstract: We have obtained room-temperature electroluminescence (EL) at ∼1.54 μm from Er and O co-doped crystalline p-n Si diodes fabricated by ion implantation, under both forward and reverse bias conditions. Under forward bias, the EL intensity decreases by a factor of ∼15 on going from 110 to 300 K, where a weak peak is still visible. In contrast, we report the first sharp luminescence peak obtained under reverse bias conditions in the breakdown regime. In this case the EL intensity decreases only by a factor of 4 on going from 110 to 300 K and the room-temperature yield is more than one order of magnitude higher than under forward bias. The data suggest that Er excitation occurs through electron-hole mediated processes under forward bias and through impact excitation under reverse bias.
Publisher: Elsevier BV
Date: 05-2003
Publisher: AIP Publishing
Date: 15-09-1995
DOI: 10.1063/1.359904
Abstract: We have studied the effect of erbium-impurity interactions on the 1.54 μm luminescence of Er3+ in crystalline Si. Float-zone and Czochralski-grown (100) oriented Si wafers were implanted with Er at a total dose of ∼1×1015/cm2. Some s les were also coimplanted with O, C, and F to realize uniform concentrations (up to 1020/cm3) of these impurities in the Er-doped region. S les were analyzed by photoluminescence spectroscopy (PL) and electron paramagnetic resonance (EPR). Deep-level transient spectroscopy (DLTS) was also performed on p-n diodes implanted with Er at a dose of 6×1011/cm2 and codoped with impurities at a constant concentration of 1×1018/cm3. It was found that impurity codoping reduces the temperature quenching of the PL yield and that this reduction is more marked when the impurity concentration is increased. An EPR spectrum of sharp, anisotropic, lines is obtained for the s le codoped with 1020 O/cm3 but no clear EPR signal is observed without this codoping. The spectrum for the magnetic field B parallel to the [100] direction is similar to that expected for Er3+ in an approximately octahedral crystal field. DLTS analyses confirmed the formation of new Er3+ sites in the presence of the codoping impurities. In particular, a reduction in the density of the deepest levels has been observed and an impurity+Er-related level at ∼0.15 eV below the conduction band has been identified. This level is present in Er+O-, Er+F-, and Er+C-doped Si s les while it is not observed in s les solely doped with Er or with the codoping impurity only. We suggest that this new level causes efficient excitation of Er through the recombination of e-h pairs bound to this level. Temperature quenching is ascribed to the thermalization of bound electrons to the conduction band. We show that the attainment of well-defined impurity-related luminescent Er centers is responsible for both the luminescence enhancement at low temperatures and for the reduction of the temperature quenching of the luminescence. A quantitative model for the excitation and deexcitation processes of Er in Si is also proposed and shows good agreement with the experimental results.
Publisher: American Chemical Society (ACS)
Date: 17-08-2012
DOI: 10.1021/NN302907J
Abstract: We present the bottom-up fabrication of dispersive silica core, gold cladding ground plane optical nanoantennas. The structures are made by a combination of electron-beam induced deposition of silica and sputtering of gold. The antenna lengths range from 300 to 2100 nm with size aspect ratios as large as 20. The angular emission patterns of the nanoantennas are measured with angle-resolved cathodoluminescence spectroscopy and compared with finite-element methods. Good overall correspondence between the the measured and calculated trends is observed. The dispersive nature of these plasmonic monopole antennas makes their radiation profile highly tunable.
Publisher: American Chemical Society (ACS)
Date: 18-11-2011
DOI: 10.1021/NN203906T
Abstract: The integration of nanophotonic and plasmonic structures with solar cells offers the ability to control and confine light in nanoscale dimensions. These nanostructures can be used to couple incident sunlight into both localized and guided modes, enhancing absorption while reducing the quantity of material. Here we use electromagnetic modeling to study the resonances in a solar cell containing both plasmonic metal back contacts and nanostructured semiconductor top contacts, identify the local and guided modes contributing to enhanced absorption, and optimize the design. We then study the role of the different interfaces and show that Al is a viable plasmonic back contact material.
Publisher: AIP Publishing
Date: 11-2001
DOI: 10.1063/1.1406550
Abstract: We have used a rate equation propagation model of an Er3+/Yb3+ doped Al2O3 waveguide lifier with copropagating pump at 980 nm to investigate the dependence of gain on Yb3+ concentration. The model includes excited state absorption and energy transfer upconversion processes within the Er3+ as well as the relevant energy transfer processes between Yb3+ and Er3+. The results of the calculations indicate a close relationship of the parameters gain, launched pump power, waveguide length, and Yb3+ concentration. Codoping with a well-chosen Yb3+ concentration is shown to increase the gain around 1530 nm for all combinations of these parameters. The gain is improved most by Yb3+ codoping at pump powers around the lifier threshold. At high pump powers the increase in gain of an Er3+/Yb3+ doped waveguide is insignificant compared to that of its Er3+ doped counterpart. Furthermore for each launched pump power, a nonzero Yb3+ concentration can be determined, which maximizes the gain.
Publisher: Springer Science and Business Media LLC
Date: 1996
DOI: 10.1557/PROC-422-239
Abstract: Hydrogenated amorphous silicon thin films, co-doped with oxygen, are made using lowpressure chemical vapor deposition (LPCVD) or plasma-enhanced chemical vapor deposition (PECVD). The films are implanted with Er to a peak concentration of 0.2 at.%. Roomtemperature photoluminescence at 1.54 μm is observed in both amorphous materials, after thermal annealing at 300–400 °C. The PECVD films with low 0 content (0.3, 1.3 at.%) show a luminescence intensity quenching by a factor 7–15 as the temperature is raised from 10 K to room temperature. The quenching is well correlated with a decrease in luminescence lifetime, indicating that non-radiative decay of excited Er 3+ is the dominant quenching mechanism as the temperature is increased. In the LPCVD films, with 31 at.% 0, the quenching is only a factor 3, and no lifetime quenching is observed. The results are interpreted in the context of an impurity Auger excitation model, taking into account the fact that oxygen modifies the Si bandgap and the Er-related defect levels in the gap.
Publisher: Springer Science and Business Media LLC
Date: 08-2011
Abstract: Phase transformations at the Si–H 2 O interface, induced by nanosecond pulsed laser irradiation, were studied in real time. Si s les were irradiated using a 4 ns pulse from a Q -switched frequency-doubled Nd:YAG laser while immersed in the transparent liquid. Using time-resolved conductivity and reflectivity techniques, in combination with modeling of optical parameters and heat flow, transient processes in the Si, the H 2 O, and at the interface have been unraveled. In the liquid, local rapid heating occurs as a result of heat flow across the interface, and formation of a low-density steam phase occurs on a nanosecond timescale. Expansion of this phase is followed by a collapse after 200 ns. These rapid phase transformations in the water initiate a shock wave with a pressure of 0.4± 0.3 kbar. Transient phase transformations and the heat flow into the water during the laser pulse influence the energy coupling into the s le, resulting in an effective laser pulse shortening. The pulse shortening and the additional heat flow into the water during solidification result in a 30% enhancement of the solidification velocity for 270 nm deep melts. Cross-section transmission electron microscopy data reveal that the Si surface is planar after irradiation and is inert to chemical reactions during irradiation. Recent experiments described in the literature concerning pulsed-laser induced synthesis at the solid-liquid interface are reviewed and discussed in the context of the fundamental phenomena presently observed.
Publisher: AIP Publishing
Date: 2002
DOI: 10.1063/1.1418417
Abstract: Erbium-doped Si nanocrystal based optical waveguides were formed by Er and Si ion implantation into SiO2. Optical images of the waveguide output facet show a single, well-confined optical mode. Transmission measurements reveal a clear Er related absorption of 2.7 dB/cm at 1.532 μm, corresponding to a cross section of 8×10−20 cm2. The Si nanocrystals act as sensitizers for Er but under high doping conditions (∼50 Er ions per nanocrystals) no pump-induced change in the Er related absorption is observed under optical pumping (λ=458 nm), which is ascribed to an Auger quenching effect. For very high pump powers, a broad absorption feature is observed, attributed to free carrier absorption.
Publisher: Elsevier BV
Date: 1999
Publisher: AIP Publishing
Date: 1994
DOI: 10.1063/1.355879
Abstract: LiNbO3 single crystals have been implanted with 2.0 or 3.5 MeV Er ions with fluences between 2.0×1014 and 7.5×1015 cm−2 and annealed at temperatures between 500 and 1060 °C in a wet oxygen atmosphere. Photoluminescence spectroscopy, Rutherford backscattering spectroscopy, and secondary-ion-mass spectrometry have been used to study the influence of the annealing treatment on the optical activity of the Er ions, the crystal structure of the implanted LiNbO3 layer, and the depth distribution of the Er ions, respectively. The as-implanted, amorphized LiNbO3 already emits the characteristic photoluminescence (PL) of Er3+ around 1.53 μm. Annealing for 1 min at 500 °C causes recrystallization of the amorphized layer by columnar solid-phase epitaxial regrowth from the substrate. The PL intensity increases by more than one order of magnitude on annealing at 500 °C and the PL lifetime rises from 1.65 to 2.85 ms. In contrast, much longer annealing times and a much higher temperature are necessary to remove the columns and restore the perfect lattice but do not further improve the optical properties. Up to an Er concentration of 0.12 at. % no concentration quenching effects are noticed. MeV implantation-doped s les show the same optical spectra as those doped during growth from the melt.
Publisher: American Chemical Society (ACS)
Date: 16-01-2013
DOI: 10.1021/NN3056862
Abstract: High-index dielectric or semiconductor nanoparticles support strong Mie-like geometrical resonances in the visible spectral range. We use 30 keV angle-resolved cathodoluminescence imaging spectroscopy to excite and detect these resonant modes in single silicon nanocylinders with diameters ranging from 60 to 350 nm. Resonances are observed with wavelengths in the range of 400-700 nm, with quality factors in the range Q = 9-77, and show a strong red shift with increasing cylinder diameter. The photonic wave function of all modes is determined at deep-subwavelength resolution and shows good correspondence with numerical simulations. An analytical model is developed that describes the resonant Mie-like optical eigenmodes in the silicon cylinders using an effective index of a slab waveguide mode. It shows good overall agreement with the experimental results and enables qualification of all resonances with azimuthal (m = 0-4) and radial (q = 1-4) quantum numbers. The single resonant Si nanocylinders show characteristic angular radiation distributions in agreement with the modal symmetry.
Publisher: American Chemical Society (ACS)
Date: 27-04-2018
Publisher: American Chemical Society (ACS)
Date: 04-11-2014
DOI: 10.1021/PH500225J
Publisher: American Physical Society (APS)
Date: 27-03-1995
Publisher: Elsevier BV
Date: 08-1996
Publisher: American Chemical Society (ACS)
Date: 30-11-2011
DOI: 10.1021/NL203418C
Abstract: We study the resonant modes of surface plasmon whispering gallery cavities based on a circular groove in a Au surface. We use spatially, angle-, and polarization-resolved cathodoluminescence spectroscopy to measure the resonant plasmonic local field distribution at deep-subwavelength resolution and determine the far-field radiation distribution for each plasmonic mode. We show mode-selective excitation of the plasmonic modes and resolve the modal angular radiation pattern. The results show that plasmonic whispering gallery resonators can be used as versatile antennas both in receiving and transmitting mode.
Publisher: American Chemical Society (ACS)
Date: 02-12-2020
Publisher: American Chemical Society (ACS)
Date: 10-05-2005
DOI: 10.1021/NL050421K
Abstract: A method is presented to control the in-plane ordering, size, and interparticle distance of nanoparticles fabricated by evaporation through a mask of colloidal particles. The use of optical tweezers combined with critical point drying gives single-particle position control over the colloidal particles in the mask. This extends the geometry of the colloidal masks from (self-organized) hexagonal to any desired symmetry and spacing. Control over the mask's hole size is achieved by MeV ion irradiation, which causes the colloids to expand in the in-plane direction, thus shrinking the size of the holes. After modification of the mask, evaporation at different angles with respect to the mask gives additional control over structure and interparticle distance, allowing nanoparticles of different materials to be deposited next to each other. We demonstrate large arrays of metal nanoparticles with dimensions in the 15-30 nm range, with control over the interparticle distance and in-plane ordering.
Publisher: Elsevier BV
Date: 04-2001
Publisher: Springer Science and Business Media LLC
Date: 1996
DOI: 10.1557/PROC-422-333
Abstract: It is demonstrated room-temperature electroluminescence at 1.54 μm in erbiumimplanted oxygen doped silicon (27 at. 0), due to intra-4f transitions of the Er 3+ . The luminescence is electrically stimulated by biasing metal-(Si:O,Er)-p + silicon diodes. The 30 nm thick Si:O,Er films are amorphous layers deposited onto silicon substrates by chemical vapour deposition of S i H 4 and N 2 0, doped by ion implantation with Er to a concentration up to ≈ 1.5 at.%, and annealed in a rapid thermal annealing furnace. The most intense electroluminescence is obtained in s les annealed at 400°C in reverse bias under breakdown condition and it is attributed to impact excitation of erbium by hot carriers injected from the Si into the Si:O,Er layer. The electrical characteristics of the diode are studied in detail and related to the electroluminescence characteristics. A lower limit for the impact excitation cross-section of ≈6×10 −16 cm 2 is obtained.
Publisher: Springer Netherlands
Date: 2003
Publisher: Elsevier BV
Date: 12-1983
Publisher: IEEE
Date: 2008
Publisher: American Chemical Society (ACS)
Date: 15-03-2023
Publisher: American Chemical Society (ACS)
Date: 29-05-2015
DOI: 10.1021/ACS.NANOLETT.5B01623
Abstract: We demonstrate an effective nanopatterned antireflection coating on glass that is based on sol-gel chemistry and large-area substrate-conformal soft-imprint technology. The printed 120 nm tall silica nanocylinders with a diameter of 245 nm in a square array with 325 nm pitch form an effective-index (n = 1.20) antireflection coating that reduces the double-sided reflection from a borosilicate glass slide from 7.35% to 0.57% (averaged over the visible spectral range) with a minimum reflectance <0.05% at 590 nm. The nanoglass coating is made using a simple process involving only spin-coating and an imprint step, without vacuum technology or annealing required. The refractive index of the nanoglass layers can be tailored over a broad range by controlling the geometry (1.002 < n < 1.44 in theory), covering a wide range that is not achievable with natural materials. We demonstrate that the nanoglass coating effectively eliminates glare from smart-phone display windows and significantly improves the efficiency of glass-encapsulated solar cells. These features, that are achieved over an angular range as wide as ±50°, together with strong hydrophobicity and mechanical durability, make nanoglass coatings a promising technology to improve the functionality of optoelectronic devices based on glass encapsulation.
Publisher: Springer Netherlands
Date: 2001
Publisher: American Chemical Society (ACS)
Date: 26-02-2019
Publisher: AIP Publishing
Date: 07-11-1994
DOI: 10.1063/1.112646
Abstract: The response of SiO2 thin films and implantation masks to 4.0 MeV Xe irradiation is studied. Trenches in silica deform dramatically after irradiation with 3×1015 ions/cm2. In situ wafer curvature measurements show that thin planar silica films first densify by 3.6% during irradiation. The resulting stress then relaxes viscously by radiation-enhanced Newtonian flow. At a flux of 3×1010 Xe ions/cm2s the measured shear viscosity was 6×1013Pa s. We find evidence that an irradiation induced anisotropic deformation mechanism is present in the silica films. In equilibrium, this deformation leads to an average compressive saturation stress as large as 4.5×107 Pa.
Publisher: Springer Science and Business Media LLC
Date: 19-02-2010
DOI: 10.1038/NMAT2629
Abstract: The emerging field of plasmonics has yielded methods for guiding and localizing light at the nanoscale, well below the scale of the wavelength of light in free space. Now plasmonics researchers are turning their attention to photovoltaics, where design approaches based on plasmonics can be used to improve absorption in photovoltaic devices, permitting a considerable reduction in the physical thickness of solar photovoltaic absorber layers, and yielding new options for solar-cell design. In this review, we survey recent advances at the intersection of plasmonics and photovoltaics and offer an outlook on the future of solar cells based on these principles.
Publisher: American Chemical Society (ACS)
Date: 22-02-2007
DOI: 10.1021/NL0630034
Abstract: We describe how optical contact lithography based on plasmon particle array masks allows generation of a large number of different subwavelength exposure patterns using a single mask. Within an exact point dipole model, we study the local response of silver particles in small two-dimensional arrays with 50-200 nm spacing. We show how illumination with unfocused light allows optically addressing particles either in idually or in controlled configurations which pattern will be exposed by the mask is programmed by varying the wavelength, incidence angle, and polarization of the incident wave.
Publisher: Springer Science and Business Media LLC
Date: 23-01-2014
DOI: 10.1038/NMAT3838
Publisher: Wiley
Date: 03-12-2016
Abstract: A transparent conducting film composed of regular networks of silver nanowires is obtained by combining a soft solution process (Tollens' reaction) and nanoimprint lithography. The solution-grown nanowire networks show a threefold higher conductivity than grids obtained by metal evaporation. This is due to the larger grain size in the solution-grown nanowires, which results in a strong reduction of electron scattering by grain boundaries.
Publisher: IEEE
Date: 06-2010
Publisher: Institute of Electrical and Electronics Engineers (IEEE)
Date: 03-2014
Publisher: AIP Publishing
Date: 14-06-1993
DOI: 10.1063/1.109136
Abstract: Al2O3 films on oxidized Si substrates were implanted with 800 keV Er ions to peak concentrations ranging from 0.01 to 1 at. %. The s les show relatively broad photoluminescence spectra centered at λ=1.533 μm, corresponding to intra-4f transitions in Er3+. At an Er peak concentration of 0.23 at. %, post-implantation thermal annealing up to 950 °C increases the photoluminescence intensity by a factor 40. This is a result of defect annealing, which increases the luminescence lifetime from 1 to 7 ms, as well as an increase in the Er3+ active fraction. High Er concentrations are achieved with only moderate concentration quenching effects.
Publisher: American Physical Society (APS)
Date: 10-10-2016
Publisher: Springer Science and Business Media LLC
Date: 18-04-2010
DOI: 10.1038/NMAT2747
Abstract: Metamaterials are materials with artificial electromagnetic properties defined by their sub-wavelength structure rather than their chemical composition. Negative-index materials (NIMs) are a special class of metamaterials characterized by an effective negative index that gives rise to such unusual wave behaviour as backwards phase propagation and negative refraction. These extraordinary properties lead to many interesting functions such as sub-diffraction imaging and invisibility cloaking. So far, NIMs have been realized through layering of resonant structures, such as split-ring resonators, and have been demonstrated at microwave to infrared frequencies over a narrow range of angles-of-incidence and polarization. However, resonant-element NIM designs suffer from the limitations of not being scalable to operate at visible frequencies because of intrinsic fabrication limitations, require multiple functional layers to achieve strong scattering and have refractive indices that are highly dependent on angle of incidence and polarization. Here we report a metamaterial composed of a single layer of coupled plasmonic coaxial waveguides that exhibits an effective refractive index of -2 in the blue spectral region with a figure-of-merit larger than 8. The resulting NIM refractive index is insensitive to both polarization and angle-of-incidence over a +/-50 degree angular range, yielding a wide-angle NIM at visible frequencies.
Publisher: American Chemical Society (ACS)
Date: 04-2016
Publisher: Springer Science and Business Media LLC
Date: 23-09-2010
DOI: 10.1038/NMAT2866
Publisher: American Chemical Society (ACS)
Date: 04-04-2016
Publisher: AIP Publishing
Date: 05-1995
DOI: 10.1063/1.113989
Abstract: Photoluminescence of erbium-implanted porous silicon is investigated. Room temperature 1.54 μm Er3+ luminescence is observed after annealing. The luminescence spectrum, annealing characteristics, temperature quenching, and the luminescence lifetime suggest that the Er3+ luminescence is mediated by photocarriers in the amorphous silicon matrix in porous silicon, and not related to the presence of the crystal nanograins.
Publisher: Springer Science and Business Media LLC
Date: 1996
DOI: 10.1557/PROC-422-219
Abstract: Temperature quenching of the 1.54 μm photoluminescence intensity and lifetime of Er in crystal Si was investigated between 12 K and 150 K. A p-type Czochralski-grown Si (100) wafer was doped with Er to a peak concentration of 5×10 18 cm −3 using 1.5 MeV ion implantation. The Er doped layer was co-implanted with N to a peak concentration of 6×10 19 N cm −3 The s le was annealed at 490 °C for 2 hours and at 600 °C for 1 hour. The 1.54 μm photoluminescence intensity shows a weak temperature quenching between 12 and 75 K, characterised by an activation energy of 1–10 meV. For temperatures above 75 K, a strong intensity quenching with an activation energy of 210 ± 10 meV is observed. The luminescence lifetime decreases from 420 μs at 12 K to 1 μs at 170 K, and shows strong quenching behaviour above 75 K, characterised by an activation energy of 135 ± 5 meV. The results are interpreted in terms of an impurity Auger energy transfer model. The lifetime quenching is attributed to a phonon assisted backtransfer process which becomes dominant at high temperatures. Intensity quenching is attributed to both the backtransfer process and a carrier de-trapping process which reduces the Er excitation rate. Spectral response measurements on Er implanted solar cells confirm the presence of a backtransfer process at room temperature.
Publisher: American Chemical Society (ACS)
Date: 07-12-2013
DOI: 10.1021/NL303850V
Abstract: One of the simplest and most common structures used for directing light in macroscale applications is the parabolic reflector. Parabolic reflectors are ubiquitous in many technologies, from satellite dishes to hand-held flashlights. Today, there is a growing interest in the use of ultracompact metallic structures for manipulating light on the wavelength scale. Significant progress has been made in scaling radiowave antennas to the nanoscale for operation in the visible range, but similar scaling of parabolic reflectors employing ray-optics concepts has not yet been accomplished because of the difficulty in fabricating nanoscale three-dimensional surfaces. Here, we demonstrate that plasmon physics can be employed to realize a resonant elliptical cavity functioning as an essentially planar nanometallic structure that serves as a broadband unidirectional parabolic antenna at optical frequencies.
Publisher: AIP Publishing
Date: 17-11-1997
DOI: 10.1063/1.120216
Abstract: Erbium-doped multicomponent phosphate glass waveguides were deposited by rf sputtering techniques. The Er concentration was 5.3×1020 cm−3. By pumping the waveguide at 980 nm with a power of ∼21 mW, a net optical gain of 4.1 dB at 1.535 μm was achieved. This high gain per unit length at low pump power could be achieved because the Er–Er cooperative upconversion interactions in this heavily Er-doped phosphate glass are very weak [the upconversion coefficient is (2.0±0.5)×10−18 cm3/s], presumably due to the homogeneous distribution of Er in the glass and due to the high optical mode confinement in the waveguide which leads to high pump power density at low pump power.
Publisher: American Association for the Advancement of Science (AAAS)
Date: 07-11-2008
Publisher: American Physical Society (APS)
Date: 18-10-2006
Publisher: AIP Publishing
Date: 15-08-1995
DOI: 10.1063/1.360125
Abstract: The photoluminescence of erbium-doped semi-insulating polycrystalline and amorphous silicon containing 30 at. % oxygen is studied. The films were deposited on single-crystal Si substrates by chemical vapor deposition, implanted with 500 keV Er to fluences ranging from 0.05 to 6×1015 ions/cm2, and annealed at 300–1000 °C. Upon optical pumping near 500 nm, the s les show room-temperature luminescence around 1.54 μm due to intra-4f transitions in Er3+, excited by photogenerated carriers. The strongest luminescence is obtained after 400 °C annealing. Two classes of Er3+ can be distinguished, characterized by luminescence lifetimes of 170 and 800 μs. The classes are attributed to Er3+ in Si-rich and in O-rich environments. Photoluminescence excitation spectroscopy on a s le with 1×1015 Er/cm2 shows that ∼2% of the implanted Er is optically active. No quenching of the Er luminescence efficiency is observed between 77 K and room temperature in this Si-based semiconductor. The internal quantum efficiency for the excitation of Er3+ via photogenerated carriers is 10−3 at room temperature. A model is presented which explains the luminescence data in terms of trapping of electrical carriers at localized Er-related defects, and subsequent energy transfer to Er3+ ions, which can then decay by emission of 1.5 μm photons.
Publisher: American Chemical Society (ACS)
Date: 18-10-2016
Publisher: American Physical Society (APS)
Date: 16-11-2006
Publisher: American Vacuum Society
Date: 11-1999
DOI: 10.1116/1.591054
Abstract: Fabrication process for sharp waveguide bends in a two-dimensional photonic band gap structure in silicon is developed. The waveguide bend is defined by removing a row of pillars in a two-dimensional photonic crystal of 5 μm long, 205 nm diameter pillars placed on a square lattice with a pitch of 570 nm. To meet the severe nanotolerance requirements in such a device the SF6/O2 electron cyclotron resonance plasma process at reduced temperature is tailored to extreme profile control. The impact of main plasma parameters—i.e., temperature, oxygen/fluorine content, and ion energy—on the sidewall passivation process is unraveled in detail. Crystallographic orientation preference in the etch rate is observed.
Publisher: Elsevier BV
Date: 12-2016
Publisher: AIP Publishing
Date: 24-01-2005
DOI: 10.1063/1.1856133
Publisher: AIP Publishing
Date: 18-05-1998
DOI: 10.1063/1.121423
Abstract: Si nanocrystals (diameter 2–5 nm) were formed by 35 keV Si+ implantation at a fluence of 6×1016 Si/cm2 into a 100 nm thick thermally grown SiO2 film on Si (100), followed by thermal annealing at 1100 °C for 10 min. The nanocrystals show a broad photoluminescence spectrum, peaking at 880 nm, attributed to the recombination of quantum confined excitons. Rutherford backscattering spectrometry and transmission electron microscopy show that annealing these s les in flowing O2 at 1000 °C for times up to 30 min results in oxidation of the Si nanocrystals, first close to the SiO2 film surface and later at greater depths. Upon oxidation for 30 min the photoluminescence peak wavelength blueshifts by more than 200 nm. This blueshift is attributed to a quantum size effect in which a reduction of the average nanocrystal size leads to emission at shorter wavelengths. The room temperature luminescence lifetime measured at 700 nm increases from 12 μs for the unoxidized film to 43 μs for the film that was oxidized for 29 min.
Publisher: AIP Publishing
Date: 10-10-2011
DOI: 10.1063/1.3648115
Abstract: We have fabricated microphotonic parabolic light directors using two-photon lithography, thin-film processing, and aperture formation by focused ion beam lithography. Optical transmission measurements through upright parabolic directors 22 μm high and 10 μm in diameter exhibit strong beam directivity with a beam ergence of 5.6°, in reasonable agreement with ray-tracing and full-field electromagnetic simulations. The results indicate the suitability of microphotonic parabolic light directors for producing collimated beams for applications in advanced solar cell and light-emitting diode designs.
Publisher: Springer Science and Business Media LLC
Date: 1996
DOI: 10.1557/PROC-422-305
Abstract: In this paper the performances of room temperature operating light emitting diodes (LEDs), fabricated by Er ion implantation of crystalline silicon, are investigated in detail. It is shown that 1.54 μm emission is observed under both forward and reverse bias operation, with a much higher intensity under reverse bias. The excitation mechanisms of Er 3+ are demonstrated to be very different in the two cases: under forward bias Er is excited through the electron - hole recombination at an Er - related level, while under reverse bias impact excitation by hot carriers represents the excitation process. This last mechanism is shown to occur with a cross section of 6 × 10 −17 cm 2 and population inversion of the excitable Er sites within the depletion region is demonstrated. The efficiency and limitations of this approach are also discussed.
Publisher: American Chemical Society (ACS)
Date: 11-02-2021
Publisher: American Physical Society (APS)
Date: 23-11-2010
Publisher: AIP Publishing
Date: 25-02-2008
DOI: 10.1063/1.2885344
Abstract: We use focused-ion-beam milling of a single-crystal Au surface to fabricate a 590-nm-long linear ridge that acts as a surface plasmon nanoresonator. Cathodoluminescence imaging spectroscopy is then used to excite and image surface plasmons on the ridge. Principal component analysis reveals distinct plasmonic modes, which proves confinement of surface plasmon oscillations to the ridge. Boundary-element-method calculations confirm that a linear ridge is able to support highly localized surface plasmon modes (mode diameter & nm). The results demonstrate that focused-ion-beam milling can be used in rapid prototyping of nanoscale single-crystal plasmonic components.
Publisher: AIP Publishing
Date: 26-11-2001
DOI: 10.1063/1.1419033
Abstract: Spherical SiO2 colloids with two different diameters (175 nm, 340 nm) were doped with erbium at different concentrations. The spheres show sharply peaked photoluminescence centered at 1.535 μm, due to intra-4f transitions in Er3+. From measurements of the Er decay rate for different Er concentrations the decay rate of isolated Er ions (i.e., in absence of concentration quenching) was determined for the two colloid diameters. The data were compared to spontaneous emission rates derived from calculations of the local optical density of states in the colloids. The calculation predicts a large difference in the spontaneous emission rate for both colloid sizes (61 vs 40 s−1), in perfect agreement with the measured data.
Publisher: No publisher found
Date: 2000
Publisher: AIP Publishing
Date: 19-02-2004
DOI: 10.1063/1.1640459
Abstract: Optically active erbium ions in the silica and silicon sections of a Si-infiltrated silica colloidal photonic crystal can be separately addressed. A face-centered cubic colloidal crystal composed of 860 nm silica colloids was made by self-assembly under controlled drying conditions. It was then infiltrated with Si using chemical vapor deposition at 550 °C. Next, the photonic crystal was doped with erbium ions by 2 MeV ion implantation. The erbium ions were activated by thermal anneals at 400 and 750 °C, and showed clear photoluminescence at 1.5 μm in both the Si and silica parts of the photonic crystal. By varying measurement temperature and excitation wavelength the erbium ions were selectively excited in Si and/or silica. In this way the local optical density of states in these photonic crystals can be selectively probed. The emission linewidth for Er3+ in crystalline Si is relatively narrow and fits well within the calculated photonic band gap. The long luminescence lifetime of Er in Si makes these photonic crystals an ideal geometry to measure effects of the optical density of states on spontaneous emission.
Publisher: Wiley
Date: 03-05-2017
Abstract: Monocrystalline materials are essential for optoelectronic devices such as solar cells, LEDs, lasers, and transistors to reach the highest performance. Advances in synthetic chemistry now allow for high quality monocrystalline nanomaterials to be grown at low temperature in solution for many materials however, the realization of extended structures with control over the final 3D geometry still remains elusive. Here, a new paradigm is presented, which relies on epitaxy between monocrystalline nanocube building blocks. The nanocubes are assembled in a predefined pattern and then epitaxially connected at the atomic level by chemical growth in solution, to form monocrystalline nanopatterns on arbitrary substrates. As a first demonstration, it is shown that monocrystalline silver structures obtained with such a process have optical properties and conductivity comparable to single-crystalline silver. This flexible multiscale process may ultimately enable the implementation of monocrystalline materials in optoelectronic devices, raising performance to the ultimate limit.
Publisher: OSA
Date: 2019
Publisher: Elsevier BV
Date: 05-2001
Publisher: AIP Publishing
Date: 03-11-1986
DOI: 10.1063/1.97453
Abstract: Explosive crystallization of Cu implanted amorphous silicon during irradiation by a 32-ns FWHM ruby laser pulse has been studied using time-resolved reflectivity measurements and Rutherford backscattering spectrometry. From interferences in the reflectivity, the position and the velocity of the self-propagating melt have been deduced as a function of time. A maximum average velocity of 13±2 m/s has been obtained. The reflectivity behavior indicates the presence of crystalline nuclei in the melt.
Publisher: American Chemical Society (ACS)
Date: 26-12-2019
Publisher: IOP Publishing
Date: 23-11-2011
DOI: 10.1088/0957-4484/22/50/505201
Abstract: We present a novel method for fabricating polarization-stable oxide-confined single-mode GaAs based vertical cavity surface emitting lasers (VCSELs) emitting at 850 nm using a new soft-lithography nano-imprint technique. A monolithic surface grating is etched in the output mirror of the laser cavity using a directly imprinted silica-based sol-gel imprint resist as an etch mask. The opto-electronic performance of these devices is compared to VCSELs fabricated by state-of-the-art electron-beam lithography. The lasers made using the soft nano-imprint technique show single-mode TM lasing at a threshold and laser slope similar to that of devices made by e-beam lithography. The soft nano-imprint technique also enables the fabrication of gratings with sub-wavelength pitch, which avoids diffraction losses in the laser cavity. The resulting single-mode VCSEL devices exhibit 29% enhanced efficiency compared to devices equipped with diffractive gratings.
Publisher: Institute of Electrical and Electronics Engineers (IEEE)
Date: 07-2002
Publisher: American Association for the Advancement of Science (AAAS)
Date: 05-2015
Abstract: The study of light at the nanoscale has become a vibrant field of research, as researchers now master the flow of light at length scales far below the optical wavelength, largely surpassing the classical limits imposed by diffraction. Using metallic and dielectric nanostructures precisely sculpted into two-dimensional (2D) and 3D nanoarchitectures, light can be scattered, refracted, confined, filtered, and processed in fascinating new ways that are impossible to achieve with natural materials and in conventional geometries. This control over light at the nanoscale has not only unveiled a plethora of new phenomena but has also led to a variety of relevant applications, including new venues for integrated circuitry, optical computing, solar, and medical technologies, setting high expectations for many novel discoveries in the years to come.
Publisher: Elsevier BV
Date: 2005
Publisher: IOP Publishing
Date: 15-04-2011
DOI: 10.1088/0022-3727/44/18/185101
Abstract: We investigate the influence of nanoparticle height on light trapping in thin-film solar cells covered with metal nanoparticles. We show that in taller nanoparticles the scattering cross-section is enhanced by resonant excitation of plasmonic standing waves. Tall nanoparticles have higher coupling efficiency when placed on the illuminated surface of the cell than on the rear of the cell due to their forward scattering nature. One of the major factors affecting the coupling efficiency of these particles is the phase shift of surface plasmon polaritons propagating along the nanoparticle due to reflection from the Ag/Si or Ag/air interface. The high scattering cross-sections of tall nanoparticles on the illuminated surface of the cell could be exploited for efficient light trapping by modifying the coupling efficiency of nanoparticles by engineering this phase shift. We demonstrate that the path length enhancement (with a nanoparticle of height 500 nm) at an incident wavelength of 700 nm can be increased from ∼6 to ∼16 by modifying the phase shift at the Ag/air interface by coating the surface of the nanoparticle with a layer of Si.
Publisher: Springer Science and Business Media LLC
Date: 2000
DOI: 10.1557/PROC-597-3
Abstract: Erbium-doped planar optical lifiers can find numerous applications in photonic integrated circuits operating at 1.5 μm. The challenge is to fabricate these devices with high gain , operating at low pump power , and having small overall size . In this paper a review is given of our recent work in the area of Er-doped waveguide materials and lifiers based on three materials classes: oxide films (A1 2 O 3 , Y 2 O 3 , SiO 2 ), polymers , and silicon .
Publisher: AIP Publishing
Date: 12-02-2001
DOI: 10.1063/1.1345827
Abstract: Spherical silica colloids with a diameter of 1.0 μm, made by wet chemical synthesis, were irradiated with 2–16 MeV Au ions at fluences in the range (2–11)×1014 cm−2. The irradiation induces an anisotropic plastic deformation turning the spherical colloids into ellipsoidal oblates. After 16 MeV Au irradiation to a fluence of 11×1014 cm−2, a size aspect ratio of 5.0 was achieved. The size polydispersity (∼3%) remains unaffected by the irradiation. The transverse diameter increases exponentially with ion fluence. By performing measurements as a function of ion energy at a fixed fluence, it is concluded that the transverse diameter increases linearly with the average electronic energy loss above a threshold value of ∼0.6 keV/nm. Nonellipsoidal colloids are observed in the case where the projected ion range is smaller than the colloid diameter. The data provide strong support for the thermal spike model of anisotropic deformation.
Publisher: The Optical Society
Date: 26-01-2016
DOI: 10.1364/OE.24.002047
Publisher: The Optical Society
Date: 16-07-2012
DOI: 10.1364/OE.20.00A641
Publisher: AIP Publishing
Date: 10-1991
DOI: 10.1063/1.349234
Abstract: Implantation of MeV erbium ions into micron-thick silica and phosphosilicate glass films and 1200-Å-thick Si3N4 films is studied with the aim of incorporating the rare-earth dopant on an optically active site in the network. Implantation energies and fluences range from 500 keV to 3.5 MeV and 3.8×1015 to 9.0×1016 ions/cm2. After proper thermal annealing, all implanted films show an intense and sharply peaked photoluminescence spectrum centered around λ = 1.54 μm. The fluorescence lifetime ranges from 6 to 15 ms for the silica-based glasses, depending on annealing treatment and Er concentration. Silicon nitride films show lower lifetimes, in the range & .2–7 ms. Annealing characteristics of all materials are interpreted in terms of annealing of ion-induced network defects. These defects are identified using photoluminescence spectroscopy at 4.2 K. Concentration quenching, diffusion and precipitation behavior of Er is also studied.
Publisher: American Chemical Society (ACS)
Date: 22-10-2014
DOI: 10.1021/NL5028183
Publisher: Wiley
Date: 02-2016
Publisher: American Physical Society (APS)
Date: 10-06-2005
Publisher: Springer Science and Business Media LLC
Date: 05-02-2019
DOI: 10.1038/S41467-019-08488-4
Abstract: Relativistic electron beams create optical radiation when interacting with tailored nanostructures. This phenomenon has been so far used to design grating-based and holographic electron-driven photon sources. It has been proposed recently that such sources can be used for hybrid electron- and light-based spectroscopy techniques. However, this demands the design of a thin-film source suitable for electron-microscopy applications. Here, we present a mesoscopic structure composed of an array of nanoscale holes in a gold film which is designed using transformation optics and delivers ultrashort chirped electromagnetic wave packets upon 30–200 keV electron irradiation. The femtosecond photon bunches result from coherent scattering of surface plasmon polaritons with hyperbolic dispersion. They decay by radiation in a broad spectral band which is focused into a 1.5 micrometer beam waist. The focusing ability and broadband nature of this photon source will initiate applications in ultrafast spectral interferometry techniques.
Publisher: American Chemical Society (ACS)
Date: 17-03-2021
Publisher: AIP Publishing
Date: 29-05-2006
DOI: 10.1063/1.2208556
Abstract: Cathodoluminescence imaging spectroscopy is used to determine the propagation distance of surface plasmon polaritons near the surface plasmon resonance on both silver and gold films. Surface plasmon polaritons are generated by a focused (diameter of 5nm) electron beam spot in the metal and coupled out through a grating. By gradually varying the distance between the excitation spot and the grating the d ing is probed. Propagation lengths as small as several hundred nanometers are probed, and an increase in propagation length is observed if the wavelength is increased above resonance. The measured data are compared with the calculated propagation lengths taking into account both absorption in the film and leakage radiation, and it is found that other loss mechanisms appear to be significant as well.
Publisher: American Chemical Society (ACS)
Date: 25-03-2013
DOI: 10.1021/NL4003238
Publisher: AIP Publishing
Date: 05-1990
DOI: 10.1063/1.346076
Abstract: Ion implantation, employing Si, Ar, and Cu ions in the energy range from 275 to 600 keV, was used to form amorphous silicon layers buried in a crystalline matrix. Different layer geometries were produced, with 150–620-nm-thick amorphous layers, separated from the surface by 120–350-nm-thick crystalline layers. Crystallization of the amorphous layers was induced by 32-ns pulsed ruby laser irradiation. Real-time reflectivity and conductivity measurements indicate that internal melting can be initiated at the amorphous-crystalline interface, immediately followed by explosive crystallization of the buried layer. Channeling and cross-section transmission electron microscopy reveal that in both Si(100) and Si(111) s les explosive crystallization proceeds epitaxially with twin formation, the twin density being higher in Si(111) than in Si(100). The measured crystal growth velocities range from 15 to 16 m/s, close to the fundamental limit for crystalline ordering at a Si liquid-crystalline interface. Computer modeling of heat flow and phase transformations supports the experimental data.
Publisher: Wiley
Date: 04-10-2004
Publisher: AIP Publishing
Date: 15-10-2000
DOI: 10.1063/1.1311824
Abstract: We present an investigation of Er3+ photoluminescence in Y2O3 waveguides codoped with Eu3+. As a function of europium concentration we observe an increase in decay rate of the erbium 4I11/2 energy level and an increase of the ratio of photoluminescence emission from the 4I13/2 and 4I11/2 states. Using a rate equation model, we show that this is due to an energy transfer from the 4I11/2→4I13/2 transition in erbium to europium. This increases the branching ratio of the 4I11/2 state towards the 4I13/2 state and results in a higher steady state population of the first excited state of erbium. Absolute intensity enhancement of the 4I13/2 emission is obtained for europium concentrations between 0.1 and 0.3 at. %. In addition, the photoluminescence due to upconversion processes originating from the 4I11/2 state is reduced. Using such state-selective energy transfer the efficiency of erbium doped waveguide lifiers can be increased.
Publisher: Elsevier BV
Date: 07-2004
Publisher: Elsevier BV
Date: 1999
Publisher: AIP Publishing
Date: 15-11-2015
DOI: 10.1063/1.357079
Abstract: The redistribution of Ga in amorphous silicon (a-Si) in the temperature range of 560–830 K by means of medium-energy ion scattering has been studied. During the initial 10 s of the annealing the diffusivity shows a transient behavior that is attributed to the change in the relaxation state of the amorphous matrix. From 560 to 830 K the diffusivity during relaxation is enhanced by seven to two orders of magnitude compared to the value for bulk a-Si. Possible models that show the observed transient diffusion behavior are discussed.
Publisher: Optica Publishing Group
Date: 03-11-2008
DOI: 10.1364/OE.16.019001
Abstract: We present a theoretical analysis of planar plasmonic waveguides that support propagation of positive and negative index modes. Particular attention is given to the modes sustained by metal-insulator-metal (MIM), insulator-metal-insulator (IMI), and insulator-insulator-metal (IIM) geometries at visible and near-infrared frequencies. We find that all three plasmonic structures are characterized by negative indices over a finite range of visible frequencies, with figures of merit approaching 20. Moreover, using finite-difference time-domain simulations, we demonstrate that visible-wavelength light propagating from free space into these waveguides can exhibit negative refraction. Refractive index and figure-of-merit calculations are presented for Ag/GaP and Ag/Si(3)N(4) - based structures with waveguide core dimensions ranging from 5 to 50 nm and excitation wavelengths ranging from 350 nm to 850 nm. Our results provide the design criteria for realization of broadband, visible-frequency negative index materials and transformation-based optical elements for two-dimensional guided waves. These geometries can serve as basic elements of three-dimensional negative-index metamaterials.
Publisher: Wiley
Date: 10-2000
DOI: 10.1002/1521-4095(200010)12:19<1434::AID-ADMA1434>3.0.CO;2-L
Publisher: American Physical Society (APS)
Date: 2023
Publisher: Springer Science and Business Media LLC
Date: 21-02-2012
DOI: 10.1038/NCOMMS1691
Publisher: AIP Publishing
Date: 02-1993
DOI: 10.1063/1.108894
Abstract: High concentrations (≊1020/cm3) of Er have been incorporated in crystal Si by solid phase epitaxy of Er-implanted amorphous Si. This concentration is some 2 orders of magnitude higher than has previously been achieved. During thermal recrystallization of the amorphous layer, segregation and trapping of Er occurs at the moving crystal/amorphous interface. As long as the concentration of Er trapped in the crystal remains below a critical level, perfect epitaxial regrowth occurs. This concentration limit is temperature dependent, decreasing from 1.2±0.2×1020/cm3 at 600 °C to 6±2×1019/cm3 at 900 °C.
Publisher: Springer Science and Business Media LLC
Date: 13-10-2013
Publisher: AIP Publishing
Date: 03-1996
DOI: 10.1063/1.361136
Abstract: Erbium is incorporated in crystalline silicon during molecular beam epitaxy on Si(100) at 600 °C, either in vacuum (6×10−11 mbar) or in an O2 ambient (4×10−10 mbar). Strong Er segregation takes place during growth in vacuum, and only 23% of the total deposited Er is incorporated in the epitaxial layer. Films grown in an O2 ambient show no Er segregation, and an Er concentration of 1.5×1019 Er/cm3 is incorporated in the crystal. The O content is 4×1019 O/cm3. Photoluminescence spectra taken at 10 K show the characteristic intra-4f luminescence of Er3+ at 1.54 μm for both s les, grown with and without O2. Differences found in the spectral shape indicate a difference in the local environment (presumably O coordination) of Er for the two cases. The O codoped film shows a 7 times higher Er luminescence peak intensity than the film grown without O. This is due to the higher incorporated Er concentration as well as an increased luminescence efficiency (lifetime without O: 0.33 ms, with O: 1.81 ms). The Er excitation efficiency is lower in the O codoped film than in the O-undoped film, which is attributed to the lower minority carrier lifetime in the O-doped material. Thermal annealing of the O codoped film at 1000 °C increases the excitation efficiency and hence the Er luminescence intensity.
Publisher: IOP Publishing
Date: 12-01-2012
Publisher: Elsevier BV
Date: 05-1998
Publisher: AIP Publishing
Date: 04-10-1993
DOI: 10.1063/1.110608
Abstract: Semi-insulating polycrystalline silicon films with oxygen concentrations in the range 4–27 at. % were deposited by low-pressure chemical vapor deposition of SiH4 and N2O onto silicon substrates, annealed at 920 °C, and then implanted with 2×1015 500 keV Er ions/cm2. After annealing at temperatures in the range 300–900 °C, the s les show intense room-temperature luminescence around 1.54 μm, characteristic of intra-4f emission from Er3+, upon excitation using an Ar ion laser. The luminescence intensity increases with increasing oxygen concentration in the film. The luminescence is attributed to Er3+ ions in oxygen-rich shells around Si nanograins, excited by a photocarrier-mediated process.
Publisher: American Chemical Society (ACS)
Date: 09-08-2008
DOI: 10.1021/NL801781G
Abstract: A nanoscale gap between two metal surfaces can confine propagating surface plasmon polaritons (SPPs) to very small dimensions, but this geometry makes it inherently difficult to image SPP propagation at high resolution. We demonstrate the near-field probing of these SPPs, propagating within a 50 nm thick Si 3N 4 waveguide with Ag cladding layers for frequencies ranging from the blue to the near-infrared. Using near-field SPP interferometry, we determine the wave vector, showing that the wavelength is shortened to values as small as 156 nm for a free-space wavelength of 532 nm.
Publisher: OSA
Date: 2018
Publisher: American Chemical Society (ACS)
Date: 19-08-2021
Publisher: AIP Publishing
Date: 15-09-2008
DOI: 10.1063/1.2987458
Abstract: We use cathodoluminescence imaging spectroscopy to excite surface plasmon polaritons and measure their decay length on single crystal and polycrystalline gold surfaces. The surface plasmon polaritons are excited on the gold surface by a nanoscale focused electron beam and are coupled into free space radiation by gratings fabricated into the surface. By scanning the electron beam on a line perpendicular to the gratings, the propagation length is determined. Data for single-crystal gold are in agreement with calculations based on dielectric constants. For polycrystalline films, grain boundary scattering is identified as additional loss mechanism, with a scattering coefficient SG=0.2%.
Publisher: AIP Publishing
Date: 08-11-2004
DOI: 10.1063/1.1814814
Abstract: Optically active and electrically excitable erbium complexes on silicon are made by wet-chemical synthesis. The single-crystalline Er–Si–O compound is formed by coating a Si(100) substrate with an ErCl3∕ethanol solution, followed by rapid thermal oxidation and annealing. Room-temperature Er-related 1.53μm photoluminescence is observed with a peak linewidth as small as 4meV. The complexes can be excited directly into the Er intra-4f states, or indirectly, through photocarriers. Er concentrations as high as 14at.% are achieved, incorporated in a crystalline lattice with a 0.9nm periodicity. Thermal quenching at room temperature is only a factor 5, and the lifetime at 1.535μm is 200μs.
Publisher: American Chemical Society (ACS)
Date: 08-05-2012
DOI: 10.1021/NL301045A
Abstract: We present a transparent conducting electrode composed of a periodic two-dimensional network of silver nanowires. Networks of Ag nanowires are made with wire diameters of 45-110 nm and a pitch of 500, 700, and 1000 nm. Anomalous optical transmission is observed, with an averaged transmission up to 91% for the best transmitting network and sheet resistances as low as 6.5 Ω/sq for the best conducting network. Our most dilute networks show lower sheet resistance and higher optical transmittance than an 80 nm thick layer of ITO sputtered on glass. By comparing measurements and simulations, we identify four distinct physical phenomena that govern the transmission of light through the networks: all related to the excitation of localized surface plasmons and surface plasmon polaritons on the wires. The insights given in this paper provide the key guidelines for designing high-transmittance and low-resistance nanowire electrodes for optoelectronic devices, including thin-film solar cells. For the latter, we discuss the general design principles to use the nanowire electrodes also as a light trapping scheme.
Publisher: AIP Publishing
Date: 04-1996
DOI: 10.1063/1.116283
Abstract: A 4 cm long Er-doped Al2O3 spiral waveguide lifier was fabricated on a Si substrate, and integrated with wavelength ision multiplexers within a total area of 15 mm2. When pumped with 9 mW 1.48 μm light from a laser diode, the lifier shows 2.3 dB net optical gain at 1.53 μm. The gain threshold was 3 mW. The lifier was doped with Er by ion implantation to a concentration of 2.7×1020 cm−3. The data agree well with calculations based on a model which includes the effects of cooperative upconversion and excited state absorption. For an optimized lifier, net optical gain of 20 dB is predicted.
Publisher: AIP Publishing
Date: 14-08-2017
DOI: 10.1063/1.4986796
Abstract: We demonstrate photovoltaic modules with a bright green color based on silicon heterojunction solar cells integrated with arrays of light scattering dielectric nanoscatterers. Dense arrays of crystalline silicon nanocylinders, 100–120 nm wide, 240 nm tall, and 325 nm pitch, are made onto module cover slides using substrate-conformal soft-imprint lithography. Strong electric and magnetic dipolar Mie resonances with a narrow linewidth (Q ∼ 30) cause strong (35%–40%) specular light scattering on resonance (∼540 nm). The green color is observed over a wide range of angles (8°–75°). As the resonant nanoscatterers are transparent for the major fraction of the incident solar spectrum, the relative loss in short-circuit current is only 10%–11%. The soft-imprinted nanopatterns can be applied on full-size solar modules and integrated with conventional module encapsulation. The dielectric Mie resonances can be controlled by geometry, opening up a road for designing efficient colorful or white building-integrated photovoltaics.
Publisher: SPIE
Date: 13-04-2000
DOI: 10.1117/12.382844
Publisher: Optica Publishing Group
Date: 17-12-2008
DOI: 10.1364/OE.16.021793
Abstract: The scattering from metal nanoparticles near their localized plasmon resonance is a promising way of increasing the light absorption in thin-film solar cells. Enhancements in photocurrent have been observed for a wide range of semiconductors and solar cell configurations. We review experimental and theoretical progress that has been made in recent years, describe the basic mechanisms at work, and provide an outlook on future prospects in this area.
Publisher: AIP Publishing
Date: 02-1996
DOI: 10.1063/1.361020
Abstract: When pumped with a 1.48 μm laser diode, Er-implanted Al2O3 ridge waveguides emit a broad spectrum consisting of several distinct peaks having wavelengths ranging from the midinfrared (1.53 μm) to the visible (520 nm). In order to explain these observations, three different upconversion mechanisms are considered: cooperative upconversion, excited state absorption, and pair-induced quenching. It is found that for s les with a high Er concentration (1.4 at. %), cooperative upconversion completely dominates the deexcitation of the Er3+ ions. For a much lower concentration (0.12 at. %), the influence of cooperative upconversion is strongly reduced, and another upconversion effect becomes apparent: excited state absorption. These conclusions are based on measurements of the luminescence emission versus pump intensity, and also on measured luminescence decay curves. The upconversion coefficient is found to be (4±1)×10−18 cm3/s the excited state absorption cross section is (0.9±0.3)×10−21 cm2. It is shown that in spite of these upconversion effects, a high fraction of the Er3+ can be excited at low pump powers. For pump powers between 2 and 10 mW, the optimum Er concentration is calculated. The results show that for an Er concentration of 0.5 at. %, more than 2 dB/cm net optical gain is achievable at a pump power less than 10 mW.
Publisher: Elsevier BV
Date: 02-2019
DOI: 10.1016/J.ULTRAMIC.2018.11.006
Abstract: Cathodoluminescence (CL) spectroscopy provides a powerful way to characterize optical properties of materials with deep-subwavelength spatial resolution. While CL imaging to obtain optical spectra is a well-developed technology, imaging CL lifetimes with nanoscale resolution has only been explored in a few studies. In this paper we compare three different time-resolved CL techniques and compare their characteristics. Two configurations are based on the acquisition of CL decay traces using a pulsed electron beam that is generated either with an ultra-fast beam blanker, which is placed in the electron column, or by photoemission from a laser-driven electron cathode. The third configuration uses measurements of the autocorrelation function g
Publisher: Springer Science and Business Media LLC
Date: 06-04-2015
Abstract: Tomography has enabled the characterization of the Earth's interior, visualization of the inner workings of the human brain, and three-dimensional reconstruction of matter at the atomic scale. However, tomographic techniques that rely on optical excitation or detection are generally limited in their resolution by diffraction. Here, we introduce a tomographic technique--cathodoluminescence spectroscopic tomography--to probe optical properties in three dimensions with nanometre-scale spatial and spectral resolution. We first obtain two-dimensional cathodoluminescence maps of a three-dimensional nanostructure at various orientations. We then use the method of filtered back-projection to reconstruct the cathodoluminescence intensity at each wavelength. The resulting tomograms allow us to locate regions of efficient cathodoluminescence in three dimensions across visible and near-infrared wavelengths, with contributions from material luminescence and radiative decay of electromagnetic eigenmodes. The experimental signal can be further correlated with the radiative local density of optical states in particular regions of the reconstruction. We demonstrate how cathodoluminescence tomography can be used to achieve nanoscale three-dimensional visualization of light-matter interactions by reconstructing a three-dimensional metal-dielectric nanoresonator.
Publisher: Wiley
Date: 27-12-2016
Publisher: AIP Publishing
Date: 15-02-2009
DOI: 10.1063/1.3078108
Abstract: We present a theoretical study on the radiative and nonradiative decay rates of an optical emitter in close proximity to a prolate-shaped metal nanoparticle. We use the model developed by Gersten and Nitzan [J. Chem. Phys. 75, 1139 (1981)] that we correct for radiative reaction and dynamic depolarization. Based on this analytical model, we provide physical insight on the optimization of anisotropic metal nanoparticles for plasmon-enhanced luminescence. We demonstrate that for properly engineered emitter-nanoparticle geometries, quantum-efficiency enhancements from an initial value of 1% (in the absence of the nanoparticle) to 70% are feasible. In addition, we show that for large (& nm) nanoparticles, the influence of Ohmic losses on plasmon-enhanced luminescence is substantially reduced, which implies that, if prolate shaped, even lossy metals such as Al and Cu are suitable materials for optical nanoantennas.
Publisher: Elsevier BV
Date: 07-2001
Publisher: AIP Publishing
Date: 28-03-2002
DOI: 10.1063/1.1454190
Abstract: Optical waveguide lifiers based on polymer materials offer a low-cost alternative for inorganic waveguide lifiers. Due to the fact that their refractive index is similar to that of standard optical fibers, they can be easily coupled to existing fibers with low coupling losses. Doping the polymer with rare-earth ions that yield optical gain is not straightforward, as the rare-earth salts are poorly soluble in the polymer matrix. This review article focuses on two different approaches to dope a polymer waveguide with rare-earth ions. The first approach is based on organic cage-like complexes that encapsulate the rare-earth ion and are designed to provide coordination sites to bind the rare-earth ion and to shield it from the surrounding matrix. These complexes also offer the possibility of attaching a highly absorbing antenna group, which increases the pump efficiency significantly. The second approach to fabricate rare-earth doped polymer waveguides is obtained by combining the excellent properties of SiO2 as a host for rare-earth ions with the easy processing of polymers. This is done by doping polymers with Er-doped silica colloidal spheres.
Publisher: American Physical Society (APS)
Date: 07-07-2006
Publisher: AIP Publishing
Date: 18-01-2010
DOI: 10.1063/1.3292020
Abstract: We show experimentally that there is asymmetry in photocurrent enhancement by Ag nanoparticle arrays located on the front or on the rear of solar cells. The scattering cross-section calculated for front- and rear-located nanoparticles can differ by up to a factor of 3.7, but the coupling efficiency remains the same. We attribute this to differences in the electric field strength and show that the normalized scattering cross-section of a front-located nanoparticle varies from two to eight depending on the intensity of the driving field. In addition, the scattering cross-section of rear-located particles can be increased fourfold using ultrathin spacer layers.
Publisher: American Chemical Society (ACS)
Date: 11-06-2013
DOI: 10.1021/NL4015028
Abstract: We demonstrate plasmon-mechanical coupling in a metalized nanomechanical oscillator. A coupled surface plasmon is excited in the 25 nm wide gap between two metalized silicon nitride beams. The strong plasmonic dispersion allows the nanomechanical beams' thermal motion at a frequency of 4.4 MHz to be efficiently transduced to the optical transmission, with a measured displacement spectral density of 1.11 × 10(-13) m/Hz(1/2). When exciting the second-order plasmonic mode at λ = 780 nm we observe optical-power-induced frequency shifts of the mechanical oscillator. Our results show that novel functionality of plasmonic nanostructures can be achieved through coupling to engineered nanoscale mechanical oscillators.
Publisher: American Physical Society (APS)
Date: 05-01-2006
Publisher: American Chemical Society (ACS)
Date: 16-10-2015
DOI: 10.1021/ACS.NANOLETT.5B03614
Abstract: We demonstrate coherent control over the optical response of a coupled plasmonic resonator by high-energy electron beam excitation. We spatially control the position of an electron beam on a gold dolmen and record the cathodoluminescence and electron energy loss spectra. By selective coherent excitation of the dolmen elements in the near field, we are able to manipulate modal litudes of bonding and antibonding eigenmodes. We employ a combination of CL and EELS to gain detailed insight in the power dissipation of these modes at the nanoscale as CL selectively probes the radiative response and EELS probes the combined effect of Ohmic dissipation and radiation.
Publisher: IEEE
Date: 2001
Publisher: American Chemical Society (ACS)
Date: 08-10-2010
DOI: 10.1021/NL902546R
Abstract: We study the resonant modes of nanoscale disk resonators sustaining metal-insulator-metal (MIM) plasmons and demonstrate the versatility of these cavities to achieve ultrasmall cavity mode volume. Ag/SiO2/Ag MIM structures were made by thin-film deposition and focused ion beam milling with cavity diameters that ranged from d = 65-2000 nm. High-resolution two-dimensional cavity-mode field distributions were determined using cathodoluminescence imaging spectroscopy and are in good agreement with boundary element calculations. For the smallest cavities (d = 65-140 nm), the lowest order mode (m = 1, n = 1) is observed in the visible spectral range. This mode is of similar nature as the one in plasmonic particle dimers, establishing a natural connection between localized and traveling plasmon cavities. A cavity quality factor of Q = 16 is observed for the 105 nm diameter cavity, accompanied by a mode volume as small as 0.00033lamda(0)(3). The corresponding Purcell factor is 900, making these ultrasmall disk resonators ideal candidates for studies of enhanced spontaneous emission and lasing.
Publisher: AIP Publishing
Date: 18-11-2003
DOI: 10.1063/1.1629793
Abstract: Contrary to earlier predictions, ion irradiation at energies as low as 300 keV causes dramatic anisotropic plastic deformation of silica glass. Spherical colloidal silica particles with diameters of 125, 305, and 1030 nm were irradiated with Xe ions at energies in the range 0.3–4.0 MeV at temperatures between 85 and 380 K. Irradiation-induced anisotropic plastic deformation changes the colloid shape from spherical into oblate ellipsoidal at a rate that strongly increases with ion energy. At a fixed fluence, the transverse diameter increases with electronic energy loss. Even at an energy as low as 300 keV large particle anisotropy was found (size aspect ratio of 1.43 at 1×1015 cm−2). The transverse plastic strain gradually decreases with increasing irradiation temperature: it decreases by a factor 4.5 between 85 and 380 K. The data are in agreement with a viscoelastic thermal spike model for anisotropic deformation.
Publisher: American Chemical Society (ACS)
Date: 22-06-2021
Publisher: The Optical Society
Date: 31-07-2012
DOI: 10.1364/OE.20.018679
Publisher: Elsevier BV
Date: 03-1996
Publisher: OSA
Date: 2019
Publisher: AIP Publishing
Date: 15-02-1988
DOI: 10.1063/1.99408
Abstract: The thermal quench rate during pulsed laser heating of Si can be enhanced by immersing the s le in a liquid (e.g., water) during irradiation. The liquid in contact with the irradiated surface acts as an additional heat sink increasing the thermal quench rate. The heat transfer processes and phase transformations were studied in real time using transient optical reflectance and electrical conductance techniques. Measurements of the melting and solidification dynamics of the Si reveal that the quench rate may be enhanced by 30% for deep melts. The measurements also indicate that a steam or superheated water phase is formed near the Si surface during the laser pulse. The observed phenomena are analyzed in terms of standard heat flow.
Publisher: Optica Publishing Group
Date: 15-04-1996
DOI: 10.1364/OL.21.000576
Abstract: Interference of 1.48-microm light in multimode interference waveguides is made visible by imaging green and infrared upconversion luminescence from Er(3+) ions dispersed in the waveguide. A two-dimensional mode density image can be derived from the data and agrees well with mode calculations for this structure. This new technique provides an interesting tool for the study of optical mode distributions in complicated waveguide structures and photonic band-gap materials.
Publisher: Elsevier BV
Date: 11-2006
Publisher: American Physical Society (APS)
Date: 11-10-2010
Publisher: Wiley
Date: 17-12-2002
Publisher: Elsevier BV
Date: 08-2005
Publisher: Elsevier BV
Date: 11-1996
Publisher: Springer Science and Business Media LLC
Date: 1993
DOI: 10.1557/PROC-298-429
Abstract: Solid phase epitaxy and ion-beam-induced epitaxial crystallization of Er-doped amorphous Si are used to incorporate high concentrations of Er in crystal Si. During solid phase epitaxy, substantial segregation and trapping of Er is observed, with maximum Er concentrations trapped in single crystal Si of up to 2 x 10 20 /cm 3 . Ion-beam-induced regrowth results in very little segregation, with Er concentrations of more than 5 X 10 20 /cm 3 achievable. Photoluminescence from the incorporated Er is observed.
Publisher: American Chemical Society (ACS)
Date: 26-06-2015
DOI: 10.1021/PH500449V
Publisher: The Optical Society
Date: 14-01-2016
Publisher: American Chemical Society (ACS)
Date: 06-02-2015
DOI: 10.1021/NN5072254
Abstract: Gallium has recently been demonstrated as a phase-change plasmonic material offering UV tunability, facile synthesis, and a remarkable stability due to its thin, self-terminating native oxide. However, the dense irregular nanoparticle (NP) ensembles fabricated by molecular-beam epitaxy make optical measurements of in idual particles challenging. Here we employ hyperspectral cathodoluminescence (CL) microscopy to characterize the response of single Ga NPs of various sizes within an irregular ensemble by spatially and spectrally resolving both in-plane and out-of-plane plasmonic modes. These modes, which include hybridized dipolar and higher-order terms due to phase retardation and substrate interactions, are correlated with finite difference time domain (FDTD) electrodynamics calculations that consider the Ga NP contact angle, substrate, and native Ga/Si surface oxidation. This study experimentally confirms previous theoretical predictions of plasmonic size-tunability in single Ga NPs and demonstrates that the plasmonic modes of interacting Ga nanoparticles can hybridize to produce strong hot spots in the ultraviolet. The controlled, robust UV plasmonic resonances of gallium nanoparticles are applicable to energy- and phase-specific applications such as optical memory, environmental remediation, and simultaneous fluorescence and surface-enhanced Raman spectroscopies.
Publisher: AIP Publishing
Date: 02-11-2009
DOI: 10.1063/1.3256187
Abstract: The impact of controlled nanopatterning on the Ag back contact of an n-i-p a-Si:H solar cell was investigated experimentally and through electromagnetic simulation. Compared to a similar reference cell with a flat back contact, we demonstrate an efficiency increase from 4.5% to 6.2%, with a 26% increase in short circuit current density. Spectral response measurements show the majority of the improvement between 600 and 800 nm, with no reduction in photocurrent at wavelengths shorter than 600 nm. Optimization of the pattern aspect ratio using electromagnetic simulation predicts absorption enhancements over 50% at 660 nm.
Publisher: American Chemical Society (ACS)
Date: 15-07-2009
DOI: 10.1021/NL900597Z
Abstract: We determine the plasmon dispersion relation in coaxial waveguides composed of a circular channel separating a metallic core and cladding. Optical transmission measurements are performed on isolated coaxial nanoapertures fabricated on a Ag film using focused ion-beam lithography. The dispersion depends strongly on the dielectric material and layer thickness. Our experimental results agree well with an analytical model for plasmon dispersion in coaxial waveguides. We observe large phase shifts at reflection from the end facets of the coaxial cavity, which strongly affect the waveguide resonances and can be tuned by changing the coax geometry, composition, and surrounding dielectric index, enabling coaxial cavities with ultrasmall mode volumes.
Publisher: AIP Publishing
Date: 15-06-1995
DOI: 10.1063/1.359059
Abstract: Room-temperature electroluminescence at 1.54 μm is demonstrated in erbium-implanted oxygen-doped silicon (27 at. % O), due to intra-4f transitions of the Er3+. The luminescence is electrically stimulated by biasing metal-(Si:O, Er)-p+ silicon diodes. The 30-nm-thick Si:O, Er films are amorphous layers deposited onto silicon substrates by chemical-vapor deposition of SiH4 and N2O, doped by ion implantation with Er to a concentration up to ≊1.5 at. %, and annealed in a rapid thermal annealing furnace. The most intense electroluminescence is obtained in s les annealed at 400 °C in reverse bias under breakdown conditions and it is attributed to impact excitation of erbium by hot carriers injected from the Si into the Si:O, Er layer. The electrical characteristics of the diode are studied in detail and related to the electroluminescence characteristics. A lower limit for the impact excitation cross section of ≊6×10−16 cm2 is obtained.
Publisher: Optica Publishing Group
Date: 28-05-2010
DOI: 10.1364/OE.18.012770
Publisher: Royal Society of Chemistry (RSC)
Date: 2019
DOI: 10.1039/C9NR07683B
Abstract: Refractory plasmonic materials offer the benefits of thermal and chemical stability for photonic applications at high temperature or photon flux. Here we demonstrate strategies to fabricate tunable plasmonic hafnium nitride (HfN) nanoparticles.
Publisher: OSA
Date: 2018
Publisher: AIP Publishing
Date: 08-06-2005
DOI: 10.1063/1.1949720
Abstract: We present measurements of the optical absorption cross section of the I15∕24→I13∕24 transition at 1.5μm of Er3+ ions embedded in SiO2 and Si-rich oxide, using cavity ringdown spectroscopy on thin films. The peak absorption cross section for Er3+ embedded in Si-rich oxide (10at.% excess Si) was found to be (8±2)×10−21cm2 at 1536nm, similar to typical values for Er embedded in SiO2. The data imply that the silicon nanoclusters incorporated in Si-rich oxide do not enhance the peak cross section of the Er3+ I15∕24−I13∕24 transition by 1-2 orders of magnitude, contrary to what has been reported in earlier work.
Publisher: Elsevier BV
Date: 12-2001
Publisher: Elsevier BV
Date: 2006
Publisher: Springer Science and Business Media LLC
Date: 09-2002
DOI: 10.1038/NMAT705
Publisher: American Chemical Society (ACS)
Date: 03-02-2017
Publisher: AIP Publishing
Date: 12-02-1996
DOI: 10.1063/1.116124
Abstract: Hydrogenated amorphous silicon thin films are doped with erbium by ion implantation. Room-temperature photoluminescence at 1.54 μm, due to an intra-4f transition in Er4+, is observed after thermal annealing at 300–400 °C. Excitation of Er3+ is shown to be mediated by photocarriers. The Er3+ luminescence intensity is quenched by a factor of 15 as the temperature is raised from 10 K to room temperature. Codoping with oxygen (1 at. %) reduces the luminescence quenching to a factor of 7. The quenching is well correlated with a decrease in luminescence lifetime, indicating that nonradiative decay of excited Er3+ is the dominant quenching mechanism as the temperature is increased.
Publisher: American Chemical Society (ACS)
Date: 03-04-2020
Publisher: American Chemical Society (ACS)
Date: 29-08-2022
Publisher: The Optical Society
Date: 25-10-2013
DOI: 10.1364/OE.21.026285
Publisher: The Optical Society
Date: 07-06-2016
Publisher: Optica Publishing Group
Date: 20-05-1997
DOI: 10.1364/AO.36.003338
Abstract: Al(2)O(3) slab waveguide films were doped with erbium using ion implantation to a peak concentration of 1.5 at. %. Prism coupling measurements show absorption caused by (4)I (15/2) ?(4)I (13/2) intra-4f transitions in Er(3+) with a maximum at 1.530 mum of 8 dB/cm. The Er(3+) absorption cross section is determined as a function of wavelength. We used the McCumber theory to derive the emission cross section spectrum from the absorption results, which we then compared with the Er(3+) photoluminescence spectrum. The peak absorption and emission cross sections are found to be 6 x 10(-21) cm(-2). The results are used to predict the optical gain performance of an Er-doped Al(2)O(3) optical lifier that operates around 1.5 mum.
Publisher: Springer Science and Business Media LLC
Date: 1996
DOI: 10.1557/PROC-422-21
Abstract: The incorporation of erbium in silicon is studied during solid phase epitaxy (SPE) of Erimplanted amorphous Si on crystalline Si, and during Si molecular beam epitaxy (MBE). Segregation and trapping of Er is observed on Si(100), both during SPE and MBE. The trapping during SPE shows a discontinuous dependence on Er concentration, attributed to the effect of defect trap sites in the amorphous Si near the interface. Trapping during MBE is described by a continuous kinetic growth model. Above a critical Er density (which is lower for MBE than for SPE), growth instabilities occur, attributed to the formation of silicide precipitates. No segregation occurs during MBE on Si(111), attributed to the epitaxial growth of silicide precipitates.
Publisher: The Optical Society
Date: 28-08-2013
DOI: 10.1364/OE.21.020738
Publisher: Elsevier BV
Date: 04-1986
Publisher: Elsevier BV
Date: 12-1991
Publisher: American Chemical Society (ACS)
Date: 03-08-2011
DOI: 10.1021/NL201839G
Abstract: Optical nanoantennas mediate optical coupling between single emitters and the far field, making both light emission and reception more effective. Probing the response of a nanoantenna as a function of position requires accurate positioning of a subwavelength sized emitter with known orientation. Here we present a novel experimental technique that uses a high-energy electron beam as broad band point dipole source of visible radiation, to study the emission properties of a Yagi-Uda antenna composed of a linear array of Au nanoparticles. We show angle-resolved emission spectra for different wavelengths and find evidence for directional emission of light that depends strongly on where the antenna is excited. We demonstrate that the experimental results can be explained by a coupled point dipole model which includes the effect of the dielectric substrate. This work establishes angle-resolved cathodoluminescence spectroscopy as a powerful technique tool to characterize single optical nanoantennas.
Publisher: Wiley
Date: 17-06-2005
Publisher: American Physical Society (APS)
Date: 04-03-2005
Publisher: The Optical Society
Date: 04-02-2011
DOI: 10.1364/OE.19.00A146
Publisher: American Chemical Society (ACS)
Date: 08-11-2022
Publisher: Springer Science and Business Media LLC
Date: 1996
DOI: 10.1557/PROC-405-247
Abstract: Synthesis of Ge nanocrystals in SiO 2 is carried out by precipitation from a supersaturated solid solution of Ge in SiO 2 made by Ge ion implantation. The SiO 2 films containing Ge nanocrystals show intense visible photoluminescence at room temperature that is very similar in spectral features to that of SiO 2 containing Ge nanocrystals synthesized by other methods, such as co-sputtering and hydrothermal reduction. The dependence of the measured peak luminescence energy on the nanocrystal diameter shows a poor correlation compared to the calculated sizedependent exciton energy for Ge ‘quantum dot’ states. The measured luminescence lifetimes are much shorter than those predicted by calculated radiative decay rates for the observed size range. The photoluminescence spectra show only a weak temperature dependence. In addition, very similar photoluminescence spectra have also been observed from Xe + -implanted SiO 2 with damage profiles similar to Ge + -implanted SiO 2 . Furthermore, the luminescence has been shown to be reversibly quenched by deuterium. These results indicate that the process responsible for visible photoluminescence is not the radiative recombination of excitons in Ge ‘quantum dots’ but is instead related to luminescent radiative defect centers in the matrix or at the nanocrystal/matrix interface.
Publisher: Elsevier BV
Date: 12-1989
Publisher: American Chemical Society (ACS)
Date: 23-06-2014
DOI: 10.1021/NN502469R
Abstract: Similar to nanoparticles, nanoscale holes form a basic building block in a wide array of nanophotonic devices. Here we study the spectral and angular cathodoluminescence response of in idual nanoholes with diameters ranging from 50 to 180 nm. Taking advantage of the deep-subwavelength excitation resolution, we find that the holes can be excited efficiently at the edge of the hole and that the response becomes stronger in the near-infrared part of the spectrum for larger holes. Using finite-difference time-domain simulations, we characterize the resonant modes inside the holes. We measure the angle-resolved cathodoluminescence response and observe strong beaming toward the side of electron beam excitation, complementary to what was shown for nanoparticles. The angular response can be explained by assuming a coherent superposition of radiating dipole moments, where the contribution of in-plane magnetic and electric dipole components increases for larger diameters.
Publisher: AIP Publishing
Date: 14-07-2004
DOI: 10.1063/1.1773927
Abstract: The dynamic competition between structural transformation, Newtonian viscous flow, and anisotropic strain generation during ion irradiation of SiO2, leads to strongly depth-dependent evolution of the mechanical stress, ranging between compressive and tensile. From independent in situ stress measurements during irradiation, generic expressions are derived of the nuclear stopping dependence of both the structural transformation rate and the radiation-induced viscosity. Using these data we introduce and demonstrate the concept of a “stress map” that predicts the depth-resolved saturation stress in SiO2 for any irradiation up to several MeV.
Publisher: AIP Publishing
Date: 09-2007
DOI: 10.1063/1.2777134
Abstract: We present ultrafast optical switching experiments on three-dimensional photonic band gap crystals. Switching the Si inverse opal is achieved by optically exciting free carriers by a two-photon process. We probe reflectivity in the frequency range of second order Bragg diffraction where the photonic band gap is predicted. We find good experimental switching conditions for free-carrier plasma frequencies between 0.3 and 0.7 times the optical frequency ω: we thus observe a large frequency shift of up to Δω∕ω=1.5% of all spectral features including the peak that corresponds to the photonic band gap. We deduce a corresponding large refractive index change of ΔnSi′∕nSi′=2.0%, where nSi′ is the refractive index of the silicon backbone of the crystal. The induced absorption length is longer than the s le thickness. We observe a fast decay time of 21 ps, which implies that switching could potentially be repeated at GHz rates. Such a high switching rate is relevant to future switching and modulation applications.
Publisher: IEEE
Date: 06-2016
Publisher: AIP Publishing
Date: 22-09-1997
DOI: 10.1063/1.119999
Abstract: In-situ wafer curvature measurements were performed to determine the mechanical stress in thermally grown SiO2 films on Si during 4 MeV Xe ion irradiation at various temperatures in the range from 90 to 575 K. Radiation induced viscous flow is observed and the radiation induced viscosity is determined at various temperatures. It ranges from 2.9×1023 at Pa ion/cm2 90–300 K to 1.6×1023 Pa ion/cm2 at 500 K. Both its magnitude and temperature dependence can be explained in terms of a phenomenological model in which stress relaxation takes place in locally heated, mesoscopic regions of low viscosity, centered around in idual ion tracks. According to this model, stress relaxation occurs in ∼10 ps and within ∼3 nm of the ion track.
Publisher: American Physical Society (APS)
Date: 15-06-1994
Publisher: AIP Publishing
Date: 05-12-2011
DOI: 10.1063/1.3665622
Abstract: We present a plasmonic whispering gallery nanoantenna doped with an ATTO680 dye that shows cavity-modified spontaneous emission. The plasmonic ring antenna consists of a circular groove cavity in a single-crystal Au surface that sustains resonances with different azimuthal and radial mode order. We observe spectral reshaping of the dye emission that can be tuned over a broad band by varying the cavity resonance conditions.
Publisher: AIP Publishing
Date: 31-03-1997
DOI: 10.1063/1.118680
Abstract: Temperature dependent measurements of the 1.54 μm photoluminescence of Er implanted N codoped crystalline Si are made. Upon increasing the temperature from 12 to 150 K, the intensity quenches by more than a factor thousand, while the lifetime quenches from 420 to 3 μs. The quenching processes are described by an impurity Auger energy transfer model that includes bound exciton dissociation and a nonradiative energy backtransfer process. Electron and hole trap levels are determined. Direct evidence for a backtransfer process follows from spectral response measurements on an Er-implanted Si solar cell.
Publisher: American Chemical Society (ACS)
Date: 26-10-2023
Publisher: American Chemical Society (ACS)
Date: 24-08-2007
DOI: 10.1021/NL071480W
Abstract: We use cathodoluminescence imaging spectroscopy to excite and investigate plasmonic eigenmodes of Au nanowires with lengths of 500-1200 nm and approximately 100 nm width. We observe emission patterns along the Au nanowire axis that are symmetric and strongly wavelength dependent. Different patterns correspond to different resonant modes of the nanowire. From the observed patterns, we derive the spatial and spectral properties of the wire eigenmodes and determine the dispersion relation for plasmonic Au nanowire modes.
Publisher: American Chemical Society (ACS)
Date: 09-07-2020
Publisher: American Physical Society (APS)
Date: 13-01-2005
Publisher: Springer Science and Business Media LLC
Date: 05-1997
Abstract: Single-crystal sapphire (α–Al 2 O 3 ) was implanted at room temperature with 200 keV erbium ions to a fluence of 8 × 10 13 cm –2 . Ion channeling using 1.6 MeV He + shows that the crystal suffers little damage for this low dose implant. Angular scans through axial and planar directions in the crystal indicate that 70% of the Er atoms reside on displaced octahedral sites in the α–Al 2 O 3 lattice. As pure Al 2 O 3 has a high density of free octahedral sites, this explains why high concentrations of Er can be dissolved in this material. Smaller fractions of Er are found on tetrahedral (20%) and random (10%) sites. The s les exhibit strongly peaked photoluminescence spectra around 1.5 μm, due to intra-4 f transitions in Er 3+ , indicating the existence of well-defined sites for the luminescing Er 3+ ions. It is concluded that the octahedral site is the dominating optically active site in the lattice.
Publisher: AIP Publishing
Date: 10-02-2004
DOI: 10.1063/1.1646748
Abstract: We present an erbium-doped microlaser on silicon operating at a wavelength of 1.5 μm that operates at a launched pump threshold as low as 4.5 μW. The 40 μm diameter toroidal microresonator is made using a combination of erbium ion implantation, photolithography, wet and dry etching, and laser annealing, using a thermally grown SiO2 film on a Si substrate as a starting material. The microlaser, doped with an average Er concentration of 2×1019 cm−3, is pumped at 1480 nm using an evanescently coupled tapered optical fiber. Cavity quality factors as high as 3.9×107 are achieved, corresponding to a modal loss of 0.007 dB/cm, and single-mode lasing is observed.
Publisher: Springer Science and Business Media LLC
Date: 15-07-2019
DOI: 10.1038/S41563-019-0409-1
Abstract: Progress in electron-beam spectroscopies has recently enabled the study of optical excitations with combined space, energy and time resolution in the nanometre, millielectronvolt and femtosecond domain, thus providing unique access into nanophotonic structures and their detailed optical responses. These techniques rely on ~1-300 keV electron beams focused at the s le down to sub-nanometre spots, temporally compressed in wavepackets a few femtoseconds long, and in some cases controlled by ultrafast light pulses. The electrons undergo energy losses and gains (also giving rise to cathodoluminescence light emission), which are recorded to reveal the optical landscape along the beam path. This Review portraits these advances, with a focus on coherent excitations, emphasizing the increasing level of control over the electron wavefunctions and ensuing applications in the study and technological use of optically resonant modes and polaritons in nanoparticles, 2D materials and engineered nanostructures.
Publisher: American Chemical Society (ACS)
Date: 17-08-2007
DOI: 10.1021/JP074160+
Publisher: Frontiers Media SA
Date: 06-2017
Abstract: Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm 2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3–4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft st s and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid st s, with the flexibility and robustness of soft st s. This was made possible by a combination of a new soft st material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.
Publisher: AIP Publishing
Date: 24-12-1990
DOI: 10.1063/1.104203
Abstract: MeV erbium implantation doping of 10-μm-thick silica glass films on a Si substrate is studied with the aim of incorporating the rare-earth dopant on an optically active site in the silica network. As-implanted s les (3.5 MeV, 5×1015 Er ions/cm2) show a strong luminescent transition at a wavelength of 1.54 μm, even at room temperature, corresponding to an intra-4f transition of Er3+. Thermal annealing at temperatures up to 900 °C increases the luminescence intensity by a factor of 2 to 3. For temperatures above 1000 °C the intensity decreases drastically as a result of Er precipitation. The lifetime of the excited state is in the order of 10 ms. Photoluminescence studies at 4.2 K are used to identify implantation-induced damage.
Publisher: American Physical Society (APS)
Date: 21-09-2007
Publisher: Springer Science and Business Media LLC
Date: 2003
Abstract: 30 MeV silicon ion irradiation of silica glass containing 10 nm silver nanocrystals causes alignment of the nanocrystals in closely spaced linear arrays along the ion tracks. Optical transmission measurements show a 1.5 eV splitting of the surface plasmon resonant absorption bands for polarizations longitudinal and transversal to the arrays. The resulting material is a highly anisotropic glass that absorbs blue light of one polarization, and near-infrared light of the orthogonal polarization. Finite-difference time domain simulations are used to explore the effects of interparticle spacing and total array length on the absorption properties.
Publisher: American Physical Society (APS)
Date: 02-01-2013
Publisher: Springer Science and Business Media LLC
Date: 2002
Publisher: AIP Publishing
Date: 07-07-2003
DOI: 10.1063/1.1589198
Publisher: AIP
Date: 2013
DOI: 10.1063/1.4794700
Publisher: Royal Society of Chemistry (RSC)
Date: 2022
DOI: 10.1039/D1NA00630D
Abstract: We present a soft-st ing method to selectively print a homogenous layer of CdSeTe/ZnS core–shell quantum dots (QDs) on top of Si nanocylinders with Mie-type resonant modes. Depending on the cylinder shape, we direct the QD emission up or down.
Publisher: American Physical Society (APS)
Date: 10-02-2006
Publisher: American Chemical Society (ACS)
Date: 18-07-2014
DOI: 10.1021/PH5000874
Publisher: American Chemical Society (ACS)
Date: 09-08-2022
Publisher: AIP Publishing
Date: 17-09-1990
DOI: 10.1063/1.103493
Abstract: The diffusivity and solubility of Cu impurities have been measured in different structural states of amorphous Si (a-Si) formed by MeV Si implantation. The 2.2-μm-thick a-Si layers were first annealed (structurally relaxed) at 500 °C and then implanted with 200 keV Cu ions, returning a 300-nm-thick surface layer to the as-implanted state. After diffusion at temperatures in the range 150–270 °C, we observe solute partitioning at a sharp phase boundary between the annealed and Cu-implanted layers, the partition coefficient being as large as 8.2±1.3. The diffusion coefficient in annealed a-Si is 2–5 times larger than in as-implanted a-Si, with activation energies of 1.39±0.15 and 1.25±0.04 eV, respectively. The data show quite strikingly the role which defects can play in the a-Si structure.
Publisher: Elsevier BV
Date: 04-1991
Publisher: Elsevier BV
Date: 2006
Publisher: American Chemical Society (ACS)
Date: 19-08-2010
DOI: 10.1021/NL102120P
Abstract: We show that surface plasmon polaritons (SPPs) can be concentrated to subwavelength dimensions in a nanoscale dielectric wedge on a metal substrate. An adiabatic model explains how SPPs propagating on a Ag substrate covered with a thin Si film of slowly increasing thickness become highly confined inside the Si layer. Simulations predict strong subwavelength focusing near the surface plasmon resonance frequency. Unlike alternative strategies, this method does not require the nanoscale shaping of metal surfaces.
Publisher: American Chemical Society (ACS)
Date: 11-2019
Publisher: AIP Publishing
Date: 28-04-1986
DOI: 10.1063/1.96447
Abstract: High-energy (0.2–0.8 MeV, ≊1017 cm−2) electron irradiation-stimulated solid phase regrowth of phosphorus-implanted silicon layers has been observed in the temperature range 350–600 °C. The influence of electron irradiation on the annealing of an isolated damage layer and of a continuous amorphous layer is compared. An ionization effect is found to enhance annealing of trapping centers in the isolated damage region. In addition, a small enhancement of solid phase epitaxial regrowth of the continuous amorphous layer was found, attributed to an elastic displacement effect.
Publisher: American Chemical Society (ACS)
Date: 03-12-2011
DOI: 10.1021/NL1034732
Abstract: We propose a drastically new method for generating single photons in a deterministic way by interaction of electron beams with optical waveguides. We find a single swift electron to produce a guided photon with large probability. The change in energy and propagation direction of the electron reveals the creation of a photon, with the photon energy directly read from the energy-loss spectrum or the beam displacement. Our study demonstrates the viability of deterministically creating single guided photons using electron beams with better than picosecond time uncertainty, thus opening a new avenue for making room temperature, heralded frequency-tunable sources affordable for scientific and commercial developments.
Publisher: AIP Publishing
Date: 22-07-2002
DOI: 10.1063/1.1497197
Abstract: Spherical silica (SiO2), zinc sulfide (ZnS), and core-shell particles of these materials undergo substantial anisotropic plastic deformation under high-energy ion irradiation. In idual particles can be turned into oblate or prolate ellipsoids with exact control over the aspect ratio. In this letter, we report on the fabrication and optical characterization of thin three-dimensional photonic crystals of spherical particles, which have been anisotropically deformed into spheroidal oblates by means of ion irradiation. As a result of the collective deformation process, both the unit cell symmetry and the particle form factor have been changed leading to appreciable tunability in the optical properties of the photonic crystal.
Publisher: Springer Science and Business Media LLC
Date: 05-2015
DOI: 10.1557/MRS.2015.112
Publisher: American Chemical Society (ACS)
Date: 19-02-2009
DOI: 10.1021/NL803825N
Abstract: We report highly efficient generation of propagating plasmons by electron beams in planar films, planar dielectric cavities, metallic wires, and nanoparticle waveguides. Electron-induced plasmon excitation is investigated in (1) gold thin films, both free-standing or supported on a silica substrate, (2) gold-silica-gold planar cavities, (3) gold nanowires, and (4) gold nanoparticle arrays. We obtain excitation yields as high as 10(- 2) plasmons per incoming electron over the visible and near-infrared range. Symmetric and antisymmetric plasmon modes are found to be more easily excited in thick and thin films, respectively, and in particular leaky plasmons in supported films are shown to be excited with very large probability exceeding one plasmon per electron. Generation of guided plasmons in metallic particle arrays is also proved to be attainable by aiming the electron at one end of the waveguide. The temporal evolution and spectral distribution of excited plasmons are discussed as well. Our results provide full support for the application of electron bombardment to excite propagating plasmons with high efficiency, thus solving the standing problem of plasmon generation at designated locations.
Publisher: American Physical Society (APS)
Date: 17-03-2009
Publisher: Wiley
Date: 03-02-2004
Publisher: AIP Publishing
Date: 28-06-2002
DOI: 10.1063/1.1486055
Abstract: Amorphous silicon a-Si was made by ion irradiation of crystalline silicon with 1×1015 Xe ions cm−2 at 77 K in the 1–4 MeV energy range. Thermal relaxation of the amorphous network at 500 °C for 1 h leads to an amorphous layer with a refractive index of n=3.73, significantly higher than that of crystalline silicon (n=3.45 at λ=1.55 μm). a-Si can thus serve as a waveguide core in Si based optical waveguides. Channel waveguides were made by anisotropic etching of a 1.5 μm silicon-on-insulator structure that was partly amorphized. Transmission measurements of these waveguides as function of the amorphous silicon length show that the a-Si part of the waveguides exhibit a modal propagation loss of 70 cm−1 (0.03 dB μm−1) and a bulk propagation loss of 115 cm−1 (0.05 dB μm−1). Losses due to sidewall roughness are estimated, and are negligible compared to the modal loss.
Publisher: American Chemical Society (ACS)
Date: 16-03-2018
Publisher: AIP Publishing
Date: 15-03-1994
DOI: 10.1063/1.356173
Abstract: Solid phase epitaxy of Er-implanted amorphous Si results in segregation and trapping of the Er, incorporating up to 2×1020 Er/cm3 in single-crystal Si. Segregation occurs despite an extremely low Er diffusivity in bulk amorphous Si of ≤10−17 cm2/s, and the narrow segregation spike (measured width ≊3 nm) suggests that kinetic trapping is responsible for the nonequilibrium concentrations of Er. The dependence of trapping on temperature, concentration, and impurities indicates instead that thermodynamics controls the segregation. We propose that Er, in analogy to transition metals, diffuses interstitially in amorphous Si, but is strongly bound at trapping centers. The binding enthalpy of these trapping sites causes the amorphous phase to be energetically favorable for Er, so that at low concentrations the Er is nearly completely segregated. Once the concentration of Er in the segregation spike exceeds the amorphous trap center concentration, though, more Er is trapped in the crystal. We also observe similar segregation and trapping behavior for another rare-earth element, Pr.
Publisher: Institute of Electrical and Electronics Engineers (IEEE)
Date: 1986
Publisher: OSA
Date: 2019
Publisher: AIP Publishing
Date: 03-10-2011
DOI: 10.1063/1.3644985
Abstract: We present a cathodoluminescence spectroscopy technique which combines deep subwavelength excitation resolution with angle-resolved detection capabilities. The cathodoluminescence emission is collected by a paraboloid mirror (effective NA = 0.96) and is projected onto a 2D CCD array. The azimuthal and polar emission pattern is directly deduced from the image. As proof of principle, we use the technique to measure the angular distribution of transition radiation from a single crystalline gold surface under 30 keV electron irradiation. We find that the experiment matches very well with theory, illustrating the potential of this technique for the characterization of photonic structures with deep subwavelength dimensions.
Publisher: Elsevier BV
Date: 02-1989
Publisher: AIP Publishing
Date: 10-11-2008
DOI: 10.1063/1.3021072
Abstract: We develop fundamental design principles for increasing the efficiency of solar cells using light trapping by scattering from metal nanoparticles. We show that cylindrical and hemispherical particles lead to much higher path length enhancements than spherical particles, due to enhanced near-field coupling, and that the path length enhancement for an electric point dipole is even higher than the Lambertian value. Silver particles give much higher path length enhancements than gold particles. The scattering cross section of the particles is very sensitive to the thickness of a spacer layer at the substrate, which provides additional tunability in the design of particle arrays.
Publisher: AIP Publishing
Date: 07-2000
DOI: 10.1063/1.373624
Abstract: The 4 MeV Xe ion irradiation of a thin thermally grown SiO2 film on a Si substrate leads to four different effects in which each manifests itself by a characteristic change in the mechanical stress state of the film: densification, ascribed to a beam-induced structural change in the silica network stress relaxation by radiation-enhanced plastic flow anisotropic expansion and stress generation and transient stress relaxation ascribed to the annealing of point defects. Using sensitive wafer-curvature measurements, in situ measurements of the in-plane mechanical stress were made during and after ion irradiation at various temperatures in the range from 95 to 575 K, in order to study the magnitude of these effects, the mechanism behind them, as well as their interplay. It is found that the structural transformation leads to a state with an equilibrium density that is 1.7%–3.2% higher than the initial state, depending on the irradiation temperature. Due to the constraint imposed by the substrate, this transformation causes a tensile in-plane stress in the oxide film. This stress is relaxed by plastic flow, leading to densification of the film. The anisotropic strain-generation rate decreases linearly with temperature from (2.5±0.4)×10−17 cm2/ion at 95 K to (−0.9±0.7)×10−17 cm2/ion at 575 K. The spectrum of irradiation-induced point defects, measured from the stress change after the ion beam was switched off, peaks below 0.23 eV and extends up to 0.80 eV. All four irradiation-induced effects can be described using a thermal spike model.
Publisher: American Physical Society (APS)
Date: 13-11-2007
Publisher: AIP Publishing
Date: 26-06-2014
DOI: 10.1063/1.4885426
Abstract: We present a method to separate coherent and incoherent contributions to cathodoluminescence from bulk materials by using angle-resolved cathodoluminescence spectroscopy. Using 5 and 30 keV electrons, we measure the cathodoluminescence spectra for Si, GaAs, Al, Ag, Au, and Cu and determine the angular emission distributions for Al, GaAs, and Si. Aluminium shows a clear dipolar radiation profile due to coherent transition radiation, while GaAs shows incoherent luminescence characterized by a Lambertian angular distribution. Silicon shows both transition radiation and incoherent radiation. From the angular data, we determine the ratio between the two processes and decompose their spectra. This method provides a powerful way to separate different radiative cathodoluminescence processes, which is useful for material characterization and in studies of electron- and light-matter interaction in metals and semiconductors.
Publisher: American Physical Society (APS)
Date: 26-03-2008
Publisher: Springer Science and Business Media LLC
Date: 04-2015
DOI: 10.1557/MRS.2015.64
Publisher: Institute of Electrical and Electronics Engineers (IEEE)
Date: 09-2022
Publisher: Elsevier BV
Date: 12-1996
Publisher: Optica Publishing Group
Date: 03-08-2009
DOI: 10.1364/OE.17.014586
Abstract: Upconversion luminescence from erbium ions placed in the near field of subwavelength aperture arrays is used to investigate field enhancement of incident near-infrared light in such nanostructures. We study field enhancement due to the excitation of both propagating and localized surface plasmon resonances in arrays of square and annular apertures in a Au film. The conversion of 1480 nm excitation light to 980 nm emission is shown to be enhanced up to a factor 450 through a subwavelength hole array. The effects of array periodicity and aperture size are investigated. It is shown that a Fano model can describe both far-field transmission and near-field intensity. The upconversion enhancement reveals the wavelength and linewidth of the surface plasmon modes that are responsible for extraordinary transmission in such arrays. Angle-dependent measurements on annular aperture arrays prove that the field enhancement due to localized resonances is independent of the incident angle. These experiments provide insight in the mechanisms responsible for extraordinary transmission and are important for applications that aim to exploit near-field enhancement in nanostructured metal films.
Location: Australia
Location: United States of America
Location: Netherlands
Location: Netherlands
No related grants have been discovered for Albert Polman.